Microelectromechanical systems
Encyclopedia
Microelectromechanical systems (MEMS) (also written as micro-electro-mechanical, MicroElectroMechanical or microelectronic and microelectromechanical systems) is the technology of very small mechanical devices driven by electricity; it merges at the nano-scale into nanoelectromechanical systems
Nanoelectromechanical systems
Nanoelectromechanical systems are devices integrating electrical and mechanical functionality on the nanoscale. NEMS form the logical next miniaturization step from so-called microelectromechanical systems, or MEMS devices...

 (NEMS) and nanotechnology
Nanotechnology
Nanotechnology is the study of manipulating matter on an atomic and molecular scale. Generally, nanotechnology deals with developing materials, devices, or other structures possessing at least one dimension sized from 1 to 100 nanometres...

. MEMS are also referred to as micromachines
Micromachinery
Micromachines are mechanical objects that are fabricated in the same general manner as integrated circuits. They are generally considered to be between 100 nanometres to 100 micrometres in size, though that is debatable. The applications of micromachines include accelerometers that detect when a...

 (in Japan), or micro systems technologyMST (in Europe).

MEMS are separate and distinct from the hypothetical vision of molecular nanotechnology
Molecular nanotechnology
Molecular nanotechnology is a technology based on the ability to build structures to complex, atomic specifications by means of mechanosynthesis. This is distinct from nanoscale materials...

 or molecular electronics
Molecular electronics
Molecular electronics, sometimes called moletronics, involves the study and application of molecular building blocks for the fabrication of electronic components...

. MEMS are made up of components between 1 to 100 micrometres in size (i.e. 0.001 to 0.1 mm) and MEMS devices generally range in size from 20 micrometres (20 millionths of a metre) to a millimetre. They usually consist of a central unit that processes data, the microprocessor and several components that interact with the outside such as microsensors. At these size scales, the standard constructs of classical physics
Classical physics
What "classical physics" refers to depends on the context. When discussing special relativity, it refers to the Newtonian physics which preceded relativity, i.e. the branches of physics based on principles developed before the rise of relativity and quantum mechanics...

 are not always useful. Because of the large surface area to volume ratio of MEMS, surface effects such as electrostatics
Electrostatics
Electrostatics is the branch of physics that deals with the phenomena and properties of stationary or slow-moving electric charges....

 and wetting
Wetting
Wetting is the ability of a liquid to maintain contact with a solid surface, resulting from intermolecular interactions when the two are brought together. The degree of wetting is determined by a force balance between adhesive and cohesive forces.Wetting is important in the bonding or adherence of...

 dominate volume effects such as inertia
Inertia
Inertia is the resistance of any physical object to a change in its state of motion or rest, or the tendency of an object to resist any change in its motion. It is proportional to an object's mass. The principle of inertia is one of the fundamental principles of classical physics which are used to...

 or thermal mass.

The potential of very small machines was appreciated before the technology existed that could make them—see, for example, Richard Feynman
Richard Feynman
Richard Phillips Feynman was an American physicist known for his work in the path integral formulation of quantum mechanics, the theory of quantum electrodynamics and the physics of the superfluidity of supercooled liquid helium, as well as in particle physics...

's famous 1959 lecture There's Plenty of Room at the Bottom
There's Plenty of Room at the Bottom
There's Plenty of Room at the Bottom is the title of a lecture given by physicist Richard Feynman at an American Physical Society meeting at Caltech on December 29, 1959...

. MEMS became practical once they could be fabricated using modified semiconductor device fabrication technologies, normally used to make electronics
Electronics
Electronics is the branch of science, engineering and technology that deals with electrical circuits involving active electrical components such as vacuum tubes, transistors, diodes and integrated circuits, and associated passive interconnection technologies...

. These include molding and plating, wet etching
Etching (microfabrication)
Etching is used in microfabrication to chemically remove layers from the surface of a wafer during manufacturing. Etching is a critically important process module, and every wafer undergoes many etching steps before it is complete....

 (KOH
Potassium hydroxide
Potassium hydroxide is an inorganic compound with the formula KOH, commonly called caustic potash.Along with sodium hydroxide , this colorless solid is a prototypical strong base. It has many industrial and niche applications. Most applications exploit its reactivity toward acids and its corrosive...

, TMAH
Tetramethylammonium hydroxide
Tetramethylammonium hydroxide is a quaternary ammonium salt with the molecular formula 4NOH. It is used as an anisotropic etchant of silicon. It is also used as a basic solvent in the development of acidic photoresist in the photolithography process. Since it is a phase transfer catalyst, it is...

) and dry etching
Dry etching
Dry etching refers to the removal of material, typically a masked pattern of semiconductor material, by exposing the material to a bombardment of ions that dislodge portions of the material from the exposed surface...

 (RIE and DRIE), electro discharge machining
Electrical discharge machining
Electric discharge machining , sometimes colloquially also referred to as spark machining, spark eroding, burning, die sinking or wire erosion, is a manufacturing process whereby a desired shape is obtained using electrical discharges...

 (EDM), and other technologies capable of manufacturing small devices. An early example of a MEMS device is the resonistor – an electromechanical monolithic resonator.

Materials for MEMS manufacturing

The fabrication of MEMS derived from the process technology in semiconductor device fabrication, i.e. the basic techniques are deposition
Deposition
Deposition or Depose may refer to:* Deposition , taking testimony outside of court* Deposition , molecules settling out of a solution...

 of material layers, patterning by photolithography
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

 and etching to produce the required shapes.

Silicon

Silicon
Silicon
Silicon is a chemical element with the symbol Si and atomic number 14. A tetravalent metalloid, it is less reactive than its chemical analog carbon, the nonmetal directly above it in the periodic table, but more reactive than germanium, the metalloid directly below it in the table...

 is the material used to create most integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

s used in consumer electronics in the modern world. The economies of scale
Economies of scale
Economies of scale, in microeconomics, refers to the cost advantages that an enterprise obtains due to expansion. There are factors that cause a producer’s average cost per unit to fall as the scale of output is increased. "Economies of scale" is a long run concept and refers to reductions in unit...

, ready availability of cheap high-quality materials and ability to incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications.
Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect Hookean
Hooke's law
In mechanics, and physics, Hooke's law of elasticity is an approximation that states that the extension of a spring is in direct proportion with the load applied to it. Many materials obey this law as long as the load does not exceed the material's elastic limit. Materials for which Hooke's law...

 material, meaning that when it is flexed there is virtually no hysteresis
Hysteresis
Hysteresis is the dependence of a system not just on its current environment but also on its past. This dependence arises because the system can be in more than one internal state. To predict its future evolution, either its internal state or its history must be known. If a given input alternately...

 and hence almost no energy dissipation. As well as making for highly repeatable motion, this also makes silicon very reliable as it suffers very little fatigue
Fatigue (material)
'In materials science, fatigue is the progressive and localized structural damage that occurs when a material is subjected to cyclic loading. The nominal maximum stress values are less than the ultimate tensile stress limit, and may be below the yield stress limit of the material.Fatigue occurs...

 and can have service lifetimes in the range of billions
1000000000 (number)
1,000,000,000 is the natural number following 999,999,999 and preceding 1,000,000,001.In scientific notation, it is written as 109....

 to trillions of cycles without breaking.

Polymers

Even though the electronics industry provides an economy of scale for the silicon industry, crystalline silicon is still a complex and relatively expensive material to produce. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as injection molding
Injection molding
Injection molding is a manufacturing process for producing parts from both thermoplastic and thermosetting plastic materials. Material is fed into a heated barrel, mixed, and forced into a mold cavity where it cools and hardens to the configuration of the cavity...

, embossing or stereolithography
Stereolithography
Stereolithography is an additive manufacturing technology for producing models, prototypes, patterns, and in some cases, production parts.-Technology description:...

 and are especially well suited to microfluidic applications such as disposable blood testing cartridges.

Metals

Metals can also be used to create MEMS elements. While metals do not have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and sputtering processes. Commonly used metals include gold
Gold
Gold is a chemical element with the symbol Au and an atomic number of 79. Gold is a dense, soft, shiny, malleable and ductile metal. Pure gold has a bright yellow color and luster traditionally considered attractive, which it maintains without oxidizing in air or water. Chemically, gold is a...

, nickel
Nickel
Nickel is a chemical element with the chemical symbol Ni and atomic number 28. It is a silvery-white lustrous metal with a slight golden tinge. Nickel belongs to the transition metals and is hard and ductile...

, aluminium
Aluminium
Aluminium or aluminum is a silvery white member of the boron group of chemical elements. It has the symbol Al, and its atomic number is 13. It is not soluble in water under normal circumstances....

, copper
Copper
Copper is a chemical element with the symbol Cu and atomic number 29. It is a ductile metal with very high thermal and electrical conductivity. Pure copper is soft and malleable; an exposed surface has a reddish-orange tarnish...

, chromium
Chromium
Chromium is a chemical element which has the symbol Cr and atomic number 24. It is the first element in Group 6. It is a steely-gray, lustrous, hard metal that takes a high polish and has a high melting point. It is also odorless, tasteless, and malleable...

, titanium
Titanium
Titanium is a chemical element with the symbol Ti and atomic number 22. It has a low density and is a strong, lustrous, corrosion-resistant transition metal with a silver color....

, tungsten
Tungsten
Tungsten , also known as wolfram , is a chemical element with the chemical symbol W and atomic number 74.A hard, rare metal under standard conditions when uncombined, tungsten is found naturally on Earth only in chemical compounds. It was identified as a new element in 1781, and first isolated as...

, platinum
Platinum
Platinum is a chemical element with the chemical symbol Pt and an atomic number of 78. Its name is derived from the Spanish term platina del Pinto, which is literally translated into "little silver of the Pinto River." It is a dense, malleable, ductile, precious, gray-white transition metal...

, and silver
Silver
Silver is a metallic chemical element with the chemical symbol Ag and atomic number 47. A soft, white, lustrous transition metal, it has the highest electrical conductivity of any element and the highest thermal conductivity of any metal...

.

Ceramics

The nitrides of silicon, aluminium and titanium as well as silicon carbide
Silicon carbide
Silicon carbide , also known as carborundum, is a compound of silicon and carbon with chemical formula SiC. It occurs in nature as the extremely rare mineral moissanite. Silicon carbide powder has been mass-produced since 1893 for use as an abrasive...

 and other ceramics are increasingly applied in MEMS fabrication due to advantageous combinations of material properties. AlN
Aluminium nitride
Aluminium nitride is a nitride of aluminium. Its wurtzite phase is a wide band gap semiconductor material, giving it potential application for deep ultraviolet optoelectronics.-History:...

 crystallizes in the wurtzite structure and thus shows pyroelectric
Pyroelectricity
Pyroelectricity is the ability of certain materials to generate a temporary voltage when they are heated or cooled. The change in temperature modifies the positions of the atoms slightly within the crystal structure, such that the polarization of the material changes. This polarization change...

 and piezoelectric
Piezoelectricity
Piezoelectricity is the charge which accumulates in certain solid materials in response to applied mechanical stress. The word piezoelectricity means electricity resulting from pressure...

 properties enabling sensors, for instance, with sensitivity to normal and shear forces. TiN
Titanium nitride
Titanium nitride is an extremely hard ceramic material, often used as a coating on titanium alloys, steel, carbide, and aluminium components to improve the substrate's surface properties....

, on the other hand, exhibits a high electrical conductivity and large elastic modulus
Elastic modulus
An elastic modulus, or modulus of elasticity, is the mathematical description of an object or substance's tendency to be deformed elastically when a force is applied to it...

 allowing to realize electrostatic MEMS actuation schemes with ultrathin membranes. Moreover, the high resistance of TiN against biocorrosion qualifies the material for applications in biogenic environments and in biosensors.

MEMS basic processes

This chart is not complete :

Deposition processes

One of the basic building blocks in MEMS processing is the ability to deposit thin films of material with a thickness anywhere between a few nanometres to about 100 micrometres.

Physical deposition

There are two types of physical deposition processes.They are as follows.
Physical vapor deposition (PVD)

Physical vapor deposition consists of a process in which a material is removed from a target, and deposited on a surface. Techniques to do this include the process of sputtering
Sputtering
Sputtering is a process whereby atoms are ejected from a solid target material due to bombardment of the target by energetic particles. It is commonly used for thin-film deposition, etching and analytical techniques .-Physics of sputtering:...

, in which an ion beam liberates atoms from a target, allowing them to move through the intervening space and deposit on the desired substrate, and Evaporation (deposition)
Evaporation
Evaporation is a type of vaporization of a liquid that occurs only on the surface of a liquid. The other type of vaporization is boiling, which, instead, occurs on the entire mass of the liquid....

, in which a material is evaporated from a target using either heat (thermal evaporation) or an electron beam (e-beam evaporation) in a vacuum system.

Chemical deposition

Chemical deposition techniques include chemical vapor deposition ("CVD"), in which a stream of source gas reacts on the substrate to grow the material desired. This can be further divided into categories depending on the details of the technique, for example, LPCVD (Low Pressure chemical vapor deposition) and PECVD (Plasma Enhanced chemical vapor deposition).

Oxide films can also be grown by the technique of thermal oxidation
Thermal oxidation
In microfabrication, thermal oxidation is a way to produce a thin layer of oxide on the surface of a wafer. The technique forces an oxidizing agent to diffuse into the wafer at high temperature and react with it. The rate of oxide growth is often predicted by the Deal-Grove model...

, in which the (typically silicon) wafer is exposed to oxygen and/or steam, to grow a thin surface layer of silicon dioxide
Silicon dioxide
The chemical compound silicon dioxide, also known as silica , is an oxide of silicon with the chemical formula '. It has been known for its hardness since antiquity...

.

Lithography

Lithography in MEMS context is typically the transfer of a pattern into a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If a photosensitive material is selectively exposed to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs.

This exposed region can then be removed or treated providing a mask for the underlying substrate. Photolithography
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

 is typically used with metal or other thin film deposition, wet and dry etching.
Electron beam lithography


Electron beam lithography (often abbreviated as e-beam lithography) is the practice of scanning a beam of electron
Electron
The electron is a subatomic particle with a negative elementary electric charge. It has no known components or substructure; in other words, it is generally thought to be an elementary particle. An electron has a mass that is approximately 1/1836 that of the proton...

s in a patterned fashion across a surface covered with a film (called the resist
Resist
In semiconductor fabrication, a resist is a thin layer used to transfer a circuit pattern to the semiconductor substrate which it is deposited upon. A resist can be patterned via lithography to form a micrometer-scale, temporary mask that protects selected areas of the underlying substrate during...

), ("exposing" the resist) and of selectively removing either exposed or non-exposed regions of the resist ("developing"). The purpose, as with photolithography
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. It was developed for manufacturing integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

s, and is also used for creating nanotechnology
Nanotechnology
Nanotechnology is the study of manipulating matter on an atomic and molecular scale. Generally, nanotechnology deals with developing materials, devices, or other structures possessing at least one dimension sized from 1 to 100 nanometres...

 architectures.

The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer regime. This form of maskless lithography
Maskless lithography
In maskless lithography, the radiation that is used to expose a photosensitive emulsion is not projected from, or transmitted through, a photomask. Instead, most commonly, the radiation is focused to a narrow beam. The beam is then used to directly write the image into the photoresist, one or more...

 has found wide usage in photomask
Photomask
A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography.-Overview:...

-making used in photolithography
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

, low-volume production of semiconductor components, and research & development.

The key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time.
Ion beam lithography
Ion beam lithography
Ion beam lithography is the practice of scanning a focused beam of ions in a patterned fashion across a surface in order to create very small structures such as integrated circuits or other nanostructures....


It is known that focused-ion-beam lithography has the capability of writing
extremely fine lines (less than 50 nm line and space has been achieved) without proximity
effect. However, because the writing field in ion-beam lithography is quite small, large area patterns must be created by stitching together the small fields.
X-ray lithography
X-ray lithography
300px|thumbX-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate...


X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist.

Etching processes

There are two basic categories of etching processes: wet etching
Wet etching
Wet etching may refer to:*Industrial etching*Etching...

 and dry etching
Dry etching
Dry etching refers to the removal of material, typically a masked pattern of semiconductor material, by exposing the material to a bombardment of ions that dislodge portions of the material from the exposed surface...

.
In the former, the material is dissolved when immersed in a chemical solution.
In the latter, the material is sputtered or dissolved using reactive ions or a vapor phase etchant. for a somewhat dated overview of MEMS etching technologies.

Wet etching

Wet chemical etching consists in selective removal of material by dipping a substrate into a solution that dissolves it. The chemical nature of this etching process provides a good selectivity, which means the etching rate of the target material is considerably higher than the mask material if selected carefully.
Isotropic etching

Etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is carried out correctly, with dimensions and angles being extremely accurate.
Anisotropic etching

Some single crystal materials, such as silicon, will have different etching rates depending on the crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most common examples is the etching of silicon in KOH (potassium hydroxide), where Si <111> planes etch approximately 100 times slower than other planes (crystallographic orientations
Crystallography
Crystallography is the experimental science of the arrangement of atoms in solids. The word "crystallography" derives from the Greek words crystallon = cold drop / frozen drop, with its meaning extending to all solids with some degree of transparency, and grapho = write.Before the development of...

). Therefore, etching a rectangular hole in a (100)-Si wafer results in a pyramid shaped etch pit with 54.7° walls, instead of a hole with curved sidewalls as with isotropic etching.
HF etching

Hydrofluoric acid
Hydrofluoric acid
Hydrofluoric acid is a solution of hydrogen fluoride in water. It is a valued source of fluorine and is the precursor to numerous pharmaceuticals such as fluoxetine and diverse materials such as PTFE ....

 is commonly used as an aqueous etchant for silicon dioxide (SiO2, also known as BOX for SOI), usually in 49% concentrated form, 5:1, 10:1 or 20:1 BOE (buffered oxide etch
Buffered oxide etch
Buffered oxide etch , also known as buffered HF or BHF, is a wet etchant used in microfabrication. Its primary use is in etching thin films of silicon dioxide or silicon nitride . It is a mixture of a buffering agent, such as ammonium fluoride , and hydrofluoric acid...

ant) or BHF (Buffered HF). They were first used in medieval times for glass etching. It was used in IC fabrication for patterning the gate oxide until the process step was replaced by RIE.

Hydrofluoric acid is considered one of the more dangerous acids in the cleanroom. It penetrates the skin upon contact and it diffuses straight to the bone. Therefore the damage is not felt until it is too late.
Electrochemical etching

Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate and to selectively control etching. An active p-n diode
Diode
In electronics, a diode is a type of two-terminal electronic component with a nonlinear current–voltage characteristic. A semiconductor diode, the most common type today, is a crystalline piece of semiconductor material connected to two electrical terminals...

 junction is required, and either type of dopant can be the etch-resistant ("etch-stop") material. Boron is the most common etch-stop dopant. In combination with wet anisotropic etching as described above, ECE has been used successfully for controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon.
Xenon difluoride etching

Xenon difluoride
Xenon difluoride
Xenon difluoride is a powerful fluorinating agent with the chemical formula , and one of the most stable xenon compounds. Like most covalent inorganic fluorides it is moisture sensitive. It decomposes on contact with light or water vapour. Xenon difluoride is a dense, white crystalline solid. It...

 (XeF2) is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of California, Los Angeles. Primarily used for releasing metal and dielectric structures by undercutting silicon, XeF2 has the advantage of a stiction
Stiction
Stiction is the static friction that needs to be overcome to enable relative motion of stationary objects in contact. The term is a portmanteau of the term "static friction", perhaps also influenced by the verb "stick"....

-free release unlike wet etchants. Its etch selectivity to silicon is very high, allowing it to work with photoresist, SiO2, silicon nitride, and various metals for masking. Its reaction to silicon is "plasmaless", is purely chemical and spontaneous and is often operated in pulsed mode. Models of the etching action are available, and university laboratories and various commercial tools offer solutions using this approach.
Reactive ion etching (RIE)

In reactive ion etching (RIE), the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture using an RF power source, which breaks the gas molecules into ions. The ions accelerate towards, and react with, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part, which is similar to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. RIE can be deep (Deep RIE or deep reactive ion etching (DRIE)).
Deep RIE (DRIE) is a special subclass of RIE that is growing in popularity. In this process, etch depths of hundreds of micrometres are achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process", named after the German company Robert Bosch, which filed the original patent, where two different gas compositions alternate in the reactor. Currently there are two variations of the DRIE. The first variation consists of three distinct steps (the Bosch Process as used in the Plasma-Therm tool) while the second variation only consists of two steps (ASE used in the STS tool).
In the 1st Variation, the etch cycle is as follows:
(i) SF6 isotropic etch;
(ii) C4F8 passivation;
(iii) SF6 anisoptropic etch for floor cleaning.
In the 2nd variation, steps (i) and (iii) are combined.

Both variations operate similarly.
The C4F8 creates a polymer on the surface of the substrate, and the second gas composition (SF6 and O2) etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 3–6 times higher than wet etching.

Die preparation

After preparing a large number of MEMS devices on a silicon wafer
Wafer (electronics)
A wafer is a thin slice of semiconductor material, such as a silicon crystal, used in the fabrication of integrated circuits and other microdevices...

, individual dies
Die (integrated circuit)
A die in the context of integrated circuits is a small block of semiconducting material, on which a given functional circuit is fabricated.Typically, integrated circuits are produced in large batches on a single wafer of electronic-grade silicon or other semiconductor through processes such as...

 have to be separated, which is called die preparation
Die preparation
Die preparation is a step of semiconductor device fabrication during which a wafer is prepared for IC packaging and IC testing. The process of die preparation typically consists of 2 steps: wafer mounting and wafer dicing.-Wafer mounting:...

 in semiconductor technology. For some applications, the separation is preceded by wafer backgrinding
Wafer backgrinding
Wafer backgrinding is a semiconductor device fabrication step during which wafer thickness is reduced to allow for stacking and high density packaging of integrated circuits ....

 in order to reduce the wafer thickness. Wafer dicing
Wafer dicing
Wafer dicing is the process by which die are separated from a wafer of semiconductor following the processing of the wafer. The dicing process can be accomplished by scribing and breaking, by mechanical sawing or by laser cutting...

 may then be performed either by sawing using a cooling liquid or a dry laser process called stealth dicing.

Bulk micromachining

Bulk micromachining is the oldest paradigm of silicon based MEMS. The whole thickness of a silicon wafer is used for building the micro-mechanical structures. Silicon is machined using various etching processes. Anodic bonding
Anodic bonding
Anodic bonding is a wafer bonding procedure without any intermediate layer. This bonding technique, also known as field assisted bonding or electrostatic sealing, is mostly used for connecting silicon/glass and metal/glass through electric fields...

 of glass plates or additional silicon wafers is used for adding features in the third dimension and for hermetic encapsulation. Bulk micromachining has been essential in enabling high performance pressure sensor
Pressure sensor
A pressure sensor measures pressure, typically of gases or liquids. Pressure is an expression of the force required to stop a fluid from expanding, and is usually stated in terms of force per unit area. A pressure sensor usually acts as a transducer; it generates a signal as a function of the...

s and accelerometer
Accelerometer
An accelerometer is a device that measures proper acceleration, also called the four-acceleration. This is not necessarily the same as the coordinate acceleration , but is rather the type of acceleration associated with the phenomenon of weight experienced by a test mass that resides in the frame...

s that have changed the shape of the sensor industry in the 80's and 90's.

Surface micromachining

Surface micromachining uses layers deposited on the surface of a substrate as the structural materials, rather than using the substrate itself. Surface micromachining was created in the late 1980s to render micromachining of silicon more compatible with planar integrated circuit technology, with the goal of combining MEMS and integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

s on the same silicon wafer. The original surface micromachining concept was based on thin polycrystalline silicon layers patterned as movable mechanical structures and released by sacrificial etching of the underlying oxide layer. Interdigital comb electrodes were used to produce in-plane forces and to detect in-plane movement capacitively. This MEMS paradigm has enabled the manufacturing of low cost accelerometer
Accelerometer
An accelerometer is a device that measures proper acceleration, also called the four-acceleration. This is not necessarily the same as the coordinate acceleration , but is rather the type of acceleration associated with the phenomenon of weight experienced by a test mass that resides in the frame...

s for e.g. automotive air-bag systems and other applications where low performance and/or high g-ranges are sufficient. Analog Devices
Analog Devices
Analog Devices, Inc. , known as ADI, is an American multinational semiconductor company specializing in data conversion and signal conditioning technology, headquartered in Norwood, Massachusetts...

 have pioneered the industrialization of surface micromachining and have realized the co-integration of MEMS and integrated circuits.

High aspect ratio (HAR) silicon micromachining

Both bulk and surface silicon micromachining are used in the industrial production of sensors, ink-jet nozzles, and other devices. But in many cases the distinction between these two has diminished. A new etching technology, deep reactive-ion etching, has made it possible to combine good performance typical of bulk micromachining
Bulk micromachining
Bulk micromachining is a process used to produce micromachinery or microelectromechanical systems .Unlike surface micromachining, which uses a succession of thin film deposition and selective etching, bulk micromachining defines structures by selectively etching inside a substrate...

 with comb structures and in-plane operation typical of surface micromachining
Surface micromachining
Unlike Bulk micromachining, where a silicon substrate is selectively etched to produce structures, surface micromachining builds microstructures by deposition and etching of different structural layers on top of the substrate....

. While it is common in surface micromachining to have structural layer thickness in the range of 2 µm, in HAR silicon micromachining the thickness can be from 10 to 100 µm. The materials commonly used in HAR silicon micromachining are thick polycrystalline silicon, known as epi-poly, and bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding is used to protect the MEMS structures. Integrated circuits are typically not combined with HAR silicon micromachining. The consensus of the industry at the moment seems to be that the flexibility and reduced process complexity obtained by having the two functions separated far outweighs the small penalty in packaging. A comparison of different high-aspect-ratio microstructure technologies can be found in the HARMST
HARMST
HARMST is an acronym for High Aspect Ratio Microstructure Technology that describes fabrication technologies,used to create high-aspect-ratio microstructures with heights between tens of micrometers up to a centimeter and aspect ratios greater than 10:1...

 article.

A forgotten history regarding surface micromachining revolved around the choice of polysilicon A or B. Fine grained (<300A grain size, US4897360), post strain annealed pure polysilicon was advocated by Prof Henry Guckel (U. Wisconsin); while a larger grain, doped stress controlled polysilicon was advocated by the UC Berkeley group.

Applications

In one viewpoint MEMS application is categorized by type of use.
  • Sensor
    Sensor
    A sensor is a device that measures a physical quantity and converts it into a signal which can be read by an observer or by an instrument. For example, a mercury-in-glass thermometer converts the measured temperature into expansion and contraction of a liquid which can be read on a calibrated...

  • Actuator
    Actuator
    An actuator is a type of motor for moving or controlling a mechanism or system. It is operated by a source of energy, usually in the form of an electric current, hydraulic fluid pressure or pneumatic pressure, and converts that energy into some kind of motion. An actuator is the mechanism by which...

  • Structure


In another view point MEMS applications are categorized by the field of application (commercial applications include):
  • Inkjet printer
    Inkjet printer
    An inkjet printer is a type of computer printer that creates a digital image by propelling droplets of ink onto paper. Inkjet printers are the most commonly used type of printer and range from small inexpensive consumer models to very large professional machines that can cost up to thousands of...

    s, which use piezoelectrics or thermal bubble ejection to deposit ink on paper.
  • Accelerometer
    Accelerometer
    An accelerometer is a device that measures proper acceleration, also called the four-acceleration. This is not necessarily the same as the coordinate acceleration , but is rather the type of acceleration associated with the phenomenon of weight experienced by a test mass that resides in the frame...

    s in modern cars for a large number of purposes including airbag
    Airbag
    An Airbag is a vehicle safety device. It is an occupant restraint consisting of a flexible envelope designed to inflate rapidly during an automobile collision, to prevent occupants from striking interior objects such as the steering wheel or a window...

     deployment in collisions.
  • Accelerometers in consumer electronics devices such as game controllers (Nintendo Wii
    Wii
    The Wii is a home video game console released by Nintendo on November 19, 2006. As a seventh-generation console, the Wii primarily competes with Microsoft's Xbox 360 and Sony's PlayStation 3. Nintendo states that its console targets a broader demographic than that of the two others...

    ), personal media players / cell phones (Apple iPhone
    IPhone
    The iPhone is a line of Internet and multimedia-enabled smartphones marketed by Apple Inc. The first iPhone was unveiled by Steve Jobs, then CEO of Apple, on January 9, 2007, and released on June 29, 2007...

    , various Nokia mobile phone models, various HTC PDA models) and a number of Digital Cameras (various Canon Digital IXUS
    Canon Digital IXUS
    The Digital IXUS is a series of digital cameras released by Canon. It is a line of ultracompact cameras, originally based on the design of Canon's IXUS/IXY/ELPH line of APS cameras....

     models). Also used in PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss.
  • MEMS gyroscopes used in modern cars and other applications to detect yaw; e.g., to deploy a roll over bar or trigger dynamic stability control
  • MEMS microphones in portable devices, e.g., mobile phones, head sets and laptops.
  • Silicon pressure sensor
    Pressure sensor
    A pressure sensor measures pressure, typically of gases or liquids. Pressure is an expression of the force required to stop a fluid from expanding, and is usually stated in terms of force per unit area. A pressure sensor usually acts as a transducer; it generates a signal as a function of the...

    s e.g., car tire
    Tire
    A tire or tyre is a ring-shaped covering that fits around a wheel rim to protect it and enable better vehicle performance by providing a flexible cushion that absorbs shock while keeping the wheel in close contact with the ground...

     pressure sensor
    Sensor
    A sensor is a device that measures a physical quantity and converts it into a signal which can be read by an observer or by an instrument. For example, a mercury-in-glass thermometer converts the measured temperature into expansion and contraction of a liquid which can be read on a calibrated...

    s, and disposable blood pressure
    Blood pressure
    Blood pressure is the pressure exerted by circulating blood upon the walls of blood vessels, and is one of the principal vital signs. When used without further specification, "blood pressure" usually refers to the arterial pressure of the systemic circulation. During each heartbeat, BP varies...

     sensor
    Sensor
    A sensor is a device that measures a physical quantity and converts it into a signal which can be read by an observer or by an instrument. For example, a mercury-in-glass thermometer converts the measured temperature into expansion and contraction of a liquid which can be read on a calibrated...

    s
  • Display
    Display device
    A display device is an output device for presentation of information in visual or tactile form...

    s e.g., the DMD chip
    Digital micromirror device
    A digital micromirror device, or DMD, is an optical semiconductor that is the core of DLP projection technology, and was invented by Dr. Larry Hornbeck and Dr. William E. "Ed" Nelson of Texas Instruments in 1987....

     in a projector based on DLP technology, which has a surface with several hundred thousand micromirrors
  • Optical switch
    Optical switch
    In telecommunication, an optical switch is a switch that enables signals in optical fibers or integrated optical circuits to be selectively switched from one circuit to another....

    ing technology, which is used for switching technology and alignment for data communications
  • Bio-MEMS
    Bio-MEMS
    Bio-MEMS is an abbreviation of biological microelectromechanical systems and refers to a special class of microelectromechanical systems where biological matter is manipulated to analyze and measure its activity under any class of scientific study. This class of devices belongs to one of the areas...

     applications in medical and health related technologies from Lab-On-Chip to MicroTotalAnalysis (biosensor
    Biosensor
    A biosensor is an analytical device for the detection of an analyte that combines a biological component with a physicochemical detector component.It consists of 3 parts:* the sensitive biological element A biosensor is an analytical device for the detection of an analyte that combines a biological...

    , chemosensor
    Chemosensor
    A chemoreceptor, also known as chemosensor, is a sensory receptor that transduces a chemical signal into an action potential. In more general terms, a chemosensor detects certain chemical stimuli in the environment.- Classes :...

    )
  • Interferometric modulator display
    Interferometric modulator display
    Interferometric modulator display is a technology used in electronic visual displays that can create various colors via interference of reflected light...

     (IMOD) applications in consumer electronics (primarily displays for mobile devices), used to create interferometric modulation − reflective display technology as found in mirasol displays
  • Fluid acceleration
    Electrostatic fluid accelerator
    An electrostatic fluid accelerator is a device which pumps a fluid such as air without any moving parts. Instead of using rotating blades, as in a conventional fan, an EFA uses an electric field to propel electrically charged air molecules. Because air molecules are normally neutrally charged,...

     such as for micro-cooling


Companies with strong MEMS programs come in many sizes. The larger firms specialize in manufacturing high volume inexpensive components or packaged solutions for end markets such as automobiles, biomedical, and electronics. The successful small firms provide value in innovative solutions and absorb the expense of custom fabrication with high sales margins. In addition, both large and small companies work in R&D to explore MEMS technology.

Industry structure

The global market for micro-electromechanical systems, which includes products such as automobile airbag systems, display systems and inkjet cartridges totaled $40 billion in 2006 according to Global MEMS/Microsystems Markets and Opportunities, a research report from SEMI
Semi
Semi- is a Latin prefix to a verb, noun, or adjective meaning "half". Some compounds formed with it are often abbreviated to simply "semi" in appropriate contexts:...

 and Yole Developpement and is forecasted to reach $72 billion by 2011.

MEMS devices are defined as die-level components of first-level packaging, and include pressure sensors, accelerometers, gyroscopes, microphones, digital mirror displays, micro fluidic
Fluidics
Fluidics or Fluidic logic is the use of a fluid to perform analog or digital operations similar to those performed with electronics.The physical basis of fluidics is pneumatics and hydraulics, based on the theoretical foundation of fluid dynamics...

 devices, etc. The materials and equipment used to manufacture MEMS devices topped $1 billion worldwide in 2006. Materials demand is driven by substrates, making up over 70 percent of the market, packaging coatings and increasing use of chemical mechanical planarization (CMP). While MEMS manufacturing continues to be dominated by used semiconductor equipment, there is a migration to 200 mm lines and select new tools, including etch and bonding for certain MEMS applications.

See also

  • Nanoelectromechanical systems
    Nanoelectromechanical systems
    Nanoelectromechanical systems are devices integrating electrical and mechanical functionality on the nanoscale. NEMS form the logical next miniaturization step from so-called microelectromechanical systems, or MEMS devices...

     are similar to MEMS but smaller
  • Micro-opto-electromechanical systems
    Micro-opto-electromechanical systems
    Micro-Opto-Electro-Mechanical Systems is not a special class of Micro-Electro-Mechanical Systems but in fact it is MEMS merged with Micro-optics which involves sensing or manipulating optical signals on a very small size scale using integrated mechanical, optical, and electrical systems...

    , MEMS including optical elements
  • Micropower
    Micropower
    Micropower describes the work that researchers at several universities are doing to develop very small electric generators and prime movers or devices to convert heat or motion to electricity, for use close to the generator...

     Hydrogen generators, gas turbines, and electrical generators made of etched silicon
  • Millipede memory, a MEMS technology for non-volatile data storage of more than a terabit per square inch
  • Cantilever one of the most common forms of MEMS.
  • MEMS thermal actuator
    MEMS thermal actuator
    A MEMS thermal actuator is a micromechanical device that typically generates motion by thermal expansion amplification. A small amount of thermal expansion of one part of the device translates to a large amount of deflection of the overall device...

     MEMS actuation created by thermal expansion
  • Scratch Drive Actuator
    Scratch Drive Actuator
    A Scratch Drive Actuator is a Microelectromechanical system device that converts electrical energy into one-dimensional motion.The actuator component can come in many shapes and sizes, depending on the fabrication method used. It can be visualised as an 'L'...

     MEMS actuation using repeatedly applied voltage differences
  • Electrostatic motor
    Electrostatic motor
    An electrostatic motor or capacitor motor is a type of electric motor based on the attraction and repulsion of electric charge.Often, electrostatic motors are the dual of conventional coil-based motors. They typically require a high voltage power supply, although very small motors employ lower...

    s used where coils are difficult to fabricate
  • Brain–computer interface
  • MEMS sensor generations
    MEMS sensor generations
    MEMS sensor generations represent the progress made in micro sensor technology and can be categorized as follows:1st Generation :MEMS sensor element mostly based on a silicon structure, sometimes combined with analog amplification on a micro chip.....

  • Kelvin probe force microscope
    Kelvin probe force microscope
    Kelvin probe force microscopy , also known as surface potential microscopy, is a noncontact variant of atomic force microscopy that was invented in 1991. With KPFM, the work function of surfaces can be observed at atomic or molecular scales...


External links

The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK