Photomask
Encyclopedia
A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

.

Overview

Lithographic photomasks are typically transparent fused silica blanks covered with a pattern defined with a chrome metal absorbing film. Photomasks are used at wavelengths of 365 nm, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm (EUV
Extreme ultraviolet lithography
Extreme ultraviolet lithography is a next-generation lithography technology using an extreme ultraviolet wavelength, currently expected to be 13.5 nm.-EUVL light source:...

), X-ray
X-ray
X-radiation is a form of electromagnetic radiation. X-rays have a wavelength in the range of 0.01 to 10 nanometers, corresponding to frequencies in the range 30 petahertz to 30 exahertz and energies in the range 120 eV to 120 keV. They are shorter in wavelength than UV rays and longer than gamma...

 and electrons and ions, but these require entirely new materials for the substrate and the pattern film.

A set of photomasks, each defining a pattern layer in integrated circuit fabrication, is fed into a photolithography stepper
Stepper
A stepper is a device used in the manufacture of integrated circuits that is similar in operation to a slide projector or a photographic enlarger. Steppers are an essential part of the complex process, called photolithography, that creates millions of microscopic circuit elements on the surface of...

 or scanner and individually selected for exposure. In double patterning
Double patterning
Multiple patterning is a class of technologies for manufacturing integrated circuits , developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected...

 techniques, a photomask would correspond to a subset of the layer pattern.

In photolithography for the mass production
Mass production
Mass production is the production of large amounts of standardized products, including and especially on assembly lines...

 of integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

 devices, the more correct term is usually photoreticle or simply reticle. In the case of a photomask, there is a one-to-one correspondence between the mask pattern and the wafer pattern. This was the standard for the 1:1 mask aligners that were succeeded by steppers and scanners with reduction optics. As used in steppers and scanners, the reticle commonly contains only one layer of the chip. (However, some photolithography fabs utilize reticles with more than one layer patterned onto the same mask). The pattern is projected and shrunk by four or five times onto the wafer surface. To achieve complete wafer coverage, the wafer is repeatedly 'stepped' from position to position under the optical column until full exposure is achieved.

Features 150 nm or below in size generally require phase-shifting
Phase-shift mask
Phase-shift masks are photomasks that take advantage of the interference generated by phase differences to improve image resolution in photolithography...

 to enhance the image quality to acceptable values. This can be achieved in many ways, but the two most common methods are to use an attenuated phase-shifting background film on the mask to increase the contrast of small intensity peaks, or to etch the exposed quartz
Quartz
Quartz is the second-most-abundant mineral in the Earth's continental crust, after feldspar. It is made up of a continuous framework of SiO4 silicon–oxygen tetrahedra, with each oxygen being shared between two tetrahedra, giving an overall formula SiO2. There are many different varieties of quartz,...

 so that the edge between the etched and unetched areas can be used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed out with another exposure. The former method is attenuated phase-shifting, and is often considered a weak enhancement, requiring special illumination for the most enhancement, while the latter method is known as alternating-aperture phase-shifting, and is the most popular strong enhancement technique.

As leading-edge semiconductor features shrink, photomask features which are 4× larger must inevitably shrink as well. This could pose challenges as the absorber film will need to become thinner, and hence less opaque.
A recent study by IMEC
Imec
Imec is a micro- and nanoelectronics research center headquartered in Leuven, Belgium, with offices in Belgium, the Netherlands, Taiwan, USA, China and Japan. Its staff of about 1,900 people includes more than 500 industrial residents and guest researchers...

 has found that thinner absorbers degrade image contrast and hence contribute to line-edge roughness, using state-of-the-art photolithography tools.
One possibility is to eliminate absorbers altogether and use 'chromeless' masks, relying solely on phase-shifting for imaging.

The emergence of immersion lithography
Immersion lithography
Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one. The resolution is increased by a factor...

 has a strong impact on photomask requirements. The commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles applied in "hyper-NA" lithography, due to the longer optical path through the patterned film.

Mask Error Enhancement Factor

Leading edge photomasks contain (pre-corrected) images of the final chip patterns magnified by 4x. This magnification factor has been a key benefit in reducing pattern sensitivity to imaging errors. However, as features continue to shrink, two trends come into play: 1) the mask error factor begins to exceed 1, i.e., the dimension error on the wafer may be more than 1/4 the dimension error on the mask, and 2) the mask feature is becoming smaller, and the dimension tolerance is approaching a few nanometers. As an example, a 25 nm wafer pattern should correspond to a 100 nm mask pattern, but the wafer tolerance could be 1.25 nm (5% spec) which translates into 5 nm on the photomask. The variation of electron beam scattering in directly writing the photomask pattern can easily well exceed this.

Pellicles

Particle contamination can be a significant problem in semiconductor manufacturing. A photomask is protected from particles by a pellicle a thin transparent film stretched over a frame that is glued over one side of the photomask. The pellicle is far enough away from the mask patterns so that moderate-to-small sized particles that land on the pellicle will be too far out of focus to print. Although they are designed to keep particles away, pellicles become a part of the imaging system and their optical properties need to be taken into account.

Leading commercial photomask manufacturers

The SPIE Annual Conference, Photomask Technology reports the SEMATECH
SEMATECH
- Purpose :SEMATECH , a not-for-profit consortium, performs research and development to advance chip manufacturing. SEMATECH has broad engagement with various sectors of the R&D community, including chipmakers, equipment and material suppliers, universities, research institutes, and government...

 Mask Industry Assessment which includes current industry analysis and the results of their annual photomask manufacturers survey.
The following companies are listed in order of their global market share (2009 info).

Major chipmakers such as Intel, AMD, IBM
IBM
International Business Machines Corporation or IBM is an American multinational technology and consulting corporation headquartered in Armonk, New York, United States. IBM manufactures and sells computer hardware and software, and it offers infrastructure, hosting and consulting services in areas...

, NEC, TSMC
TSMC
Taiwan Semiconductor Manufacturing Company, Limited or TSMC is the world's largest dedicated independent semiconductor foundry, with its headquarters and main operations located in the Hsinchu Science Park in Hsinchu, Taiwan.-Overview:...

, Samsung
Samsung
The Samsung Group is a South Korean multinational conglomerate corporation headquartered in Samsung Town, Seoul, South Korea...

, Micron Technology
Micron Technology
Micron Technology, Inc. is an American multinational corporation based in Boise, Idaho, USA, best known for producing many forms of semiconductor devices. This includes DRAM, SDRAM, flash memory, SSD and CMOS image sensing chips. Consumers may be more familiar with its consumer brand Crucial...

, etc., have their own large maskmaking facilities.
  • Photo Sciences, Inc. is the largest privately held photomask manufacturer in the United States, 2009.


The cost to set up a modern 45 nm process mask shop
Mask shop
A mask shop is a factory which manufactures photomasks for use in the semiconductor industry. There are two distinct types found in the trade. Captive mask shops are in-house operations owned by the biggest semiconductor corporations, while merchant mask shops make masks for most of the...

 is US$200-500 million, a very high threshold for entering this market. The purchase price of a photomask can range from $1,000 to $100,000 for a single, high end phase shift mask; as many as 30 masks (of varying price) may be required to form a complete mask set
Mask set
A mask set is a series of electronic data that define geometry for the photolithography steps of semiconductor fabrication. Each of the physical masks generated from this data is called a photomask....

.

See also

  • Mask work
  • Mask inspection
    Mask inspection
    In microtechnology, mask inspection or photomask inspection is an operation of checking the correctness of the fabricated photomasks, used, e.g., for semiconductor device fabrication....

  • SMIF interface
    Smif interface
    Acronym For Standard Mechanical InterFace. This is a SEMI standard, .An Isolation technology developed in the 1980s by group known as "micronauts" at Hewlett-Packard in Palo Alto...

  • Nanochannel glass materials
    Nanochannel glass materials
    Nanochannel glass materials are an experimental mask technology that is an alternate method for fabricating nanostructures, although optical lithography is the predominant patterning technique....


External links

The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK