Applied Materials
Encyclopedia
Applied Materials, Inc. is a capital equipment producer serving the semiconductor
Semiconductor
A semiconductor is a material with electrical conductivity due to electron flow intermediate in magnitude between that of a conductor and an insulator. This means a conductivity roughly in the range of 103 to 10−8 siemens per centimeter...

, TFT LCD
TFT LCD
Thin film transistor liquid crystal display is a variant of liquid crystal display which uses thin-film transistor technology to improve image quality . TFT LCD is one type of Active matrix LCD, though all LCD-screens are based on TFT active matrix addressing...

 display, Glass, WEB and solar
Photovoltaics
Photovoltaics is a method of generating electrical power by converting solar radiation into direct current electricity using semiconductors that exhibit the photovoltaic effect. Photovoltaic power generation employs solar panels composed of a number of solar cells containing a photovoltaic material...

 (crystalline and thin film
Thin film solar cell
A thin-film solar cell , also called a thin-film photovoltaic cell , is a solar cell that is made by depositing one or more thin layers of photovoltaic material on a substrate...

) manufacturing industries.

The company is headquartered in Santa Clara, California
Santa Clara, California
Santa Clara , founded in 1777 and incorporated in 1852, is a city in Santa Clara County, in the U.S. state of California. The city is the site of the eighth of 21 California missions, Mission Santa Clara de Asís, and was named after the mission. The Mission and Mission Gardens are located on the...

 in the Silicon Valley
Silicon Valley
Silicon Valley is a term which refers to the southern part of the San Francisco Bay Area in Northern California in the United States. The region is home to many of the world's largest technology corporations...

. Founded in 1967 by Michael A. McNeilly and others, Applied Materials went public
Initial public offering
An initial public offering or stock market launch, is the first sale of stock by a private company to the public. It can be used by either small or large companies to raise expansion capital and become publicly traded enterprises...

 in 1972.

In 1993, the Applied Materials' Precision 5000 was inducted into the Smithsonian Institution
Smithsonian Institution
The Smithsonian Institution is an educational and research institute and associated museum complex, administered and funded by the government of the United States and by funds from its endowment, contributions, and profits from its retail operations, concessions, licensing activities, and magazines...

's permanent collection of Information Age
Information Age
The Information Age, also commonly known as the Computer Age or Digital Age, is an idea that the current age will be characterized by the ability of individuals to transfer information freely, and to have instant access to knowledge that would have been difficult or impossible to find previously...

 technology.

In 2000, Etec Systems, Inc.
Etec Systems, Inc.
Etec Systems was an American producer of scanning electron microscopes, electron beam lithography tools, and laser beam lithography tools from 1970 until 2005...

 was purchased.

Applied Materials creates and commercializes nanomanufacturing
Nanomanufacturing
Nanomanufacturing is a term used to describe either the production of nanoscaled materials, which can be powders or fluids, or to describe the manufacturing of parts "bottom up" from nanoscaled materials or "top down" in smallest steps for high precision, used in several technologies such as laser...

 technology used in the production of semiconductor
Semiconductor
A semiconductor is a material with electrical conductivity due to electron flow intermediate in magnitude between that of a conductor and an insulator. This means a conductivity roughly in the range of 103 to 10−8 siemens per centimeter...

 (integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

) chips for electronic gear, flat panel display
Flat panel display
Flat panel displays encompass a growing number of electronic visual display technologies. They are far lighter and thinner than traditional television sets and video displays that use cathode ray tubes , and are usually less than thick...

s for computers and television, glass coatings for homes and buildings,
web
Web (manufacturing)
A web is a long, thin, and flexible material. Common webs include foil, metal, paper, textile, plastic, and wire. Common processes carried out on webs include coating, plating, and laminating....

 (flexible substrate) coatings for industry and photovoltaic solar cells and modules using both thin film
Thin film
A thin film is a layer of material ranging from fractions of a nanometer to several micrometers in thickness. Electronic semiconductor devices and optical coatings are the main applications benefiting from thin film construction....

 and crystalline (aka wafer
Wafer (electronics)
A wafer is a thin slice of semiconductor material, such as a silicon crystal, used in the fabrication of integrated circuits and other microdevices...

 or bulk) photovoltaic technology.

Applied Materials is also participating in the lighting industry through the European OPAL 2008 (Organic Phosphorescent lights for Applications in the Lighting market 2008) Program with the aim to develop a production technology for organic light-emitting diode
Organic light-emitting diode
An OLED is a light-emitting diode in which the emissive electroluminescent layer is a film of organic compounds which emit light in response to an electric current. This layer of organic semiconductor material is situated between two electrodes...

s.

Applied is organized into four major business sectors: Silicon Systems Group, Display, Energy and Environmental Solutions (EES) and Service.

In 2009, Applied Materials opened its Solar Technology Center—the world’s largest commercial solar energy research and development facility in Xi’an, China.

Applied Materials announced its acquisition of Varian Semiconductor
Varian Semiconductor
Varian Semiconductor Equipment Associates, Inc. is a supplier of ion implantation equipment used in the fabrication of semiconductor chips. Varian Semiconductor was founded in 1971 as Extrion Corporation in Peabody, Massachusetts. Extrion later moved to nearby Gloucester and was bought by Varian...

 in May 2011.

Semiconductor (Silicon Systems Group)

The largest revenue sector is the Silicon
Silicon
Silicon is a chemical element with the symbol Si and atomic number 14. A tetravalent metalloid, it is less reactive than its chemical analog carbon, the nonmetal directly above it in the periodic table, but more reactive than germanium, the metalloid directly below it in the table...

sector. They have been the number one supplier of equipment to the chip making industry since the early 1990s.

In Semiconductor (aka Integrated Circuit), the company manufactures systems that perform most of the primary steps in the chip fabrication process, including atomic layer deposition
Atomic layer deposition
Atomic layer deposition is a thin film deposition technique that is based on the sequential use of a gas phase chemical process. The majority of ALD reactions use two chemicals, typically called precursors. These precursors react with a surface one-at-a-time in a sequential manner...

, chemical vapor deposition
Chemical vapor deposition
Chemical vapor deposition is a chemical process used to produce high-purity, high-performance solid materials. The process is often used in the semiconductor industry to produce thin films. In a typical CVD process, the wafer is exposed to one or more volatile precursors, which react and/or...

 (CVD), physical vapor deposition
Physical vapor deposition
Physical vapor deposition is a variety of vacuum deposition and is a general term used to describe any of a variety of methods to deposit thin films by the condensation of a vaporized form of the desired film material onto various workpiece surfaces...

 (PVD), electrochemical plating (EP), etching
Etching (microfabrication)
Etching is used in microfabrication to chemically remove layers from the surface of a wafer during manufacturing. Etching is a critically important process module, and every wafer undergoes many etching steps before it is complete....

, rapid thermal processing
Rapid thermal processing
Rapid Thermal Processing refers to a semiconductor manufacturing process which heats silicon wafers to high temperatures on a timescale of several seconds or less. During cooling, however, wafer temperatures must be brought down slowly so they do not break due to thermal shock...

 (RTP), chemical mechanical polishing (CMP), CDSEM metrology
Metrology
Metrology is the science of measurement. Metrology includes all theoretical and practical aspects of measurement. The word comes from Greek μέτρον , "measure" + "λόγος" , amongst others meaning "speech, oration, discourse, quote, study, calculation, reason"...

, wafer inspection, sem Defect Review, and reticle inspection. In recent years the Company has been advancing technologies for the emerging high-growth wafer packaging market, such as through-silicon via
Through-silicon via
In electronic engineering, a through-silicon via is a vertical electrical connection passing completely through a silicon wafer or die...

 (TSV). With the acquisition of Semitool in late 2009, the Company expanded its capabilities for this segment of the industry through complementary systems and technology.

Service

The Service business, or Applied Global Services (AGS), covers a wide range of offerings.

Equipment Services

AGS offers equipment installation support and warranty extended support to customers who have purchased Applied Materials equipment, as well as maintenance support for installed based equipment. This ranges from standard preventive maintenance activities and emergency support to service contracts which guarantee manufacturing productivity metrics. These services offerings are available for the semiconductor, display, lighting, coating, thin-film solar, and crystalline silicon solar industries.

Mature Equipment and System Enhancements

AGS offers new and refurbished models of mature technology equipment, which have full warranties and have been qualified by Applied Materials. System upgrades and enhancements for installed base equipment are also offered.

Automation Software

Applied Materials offers software packages for automating the operation of complex manufacturing environments. These include tool automation, manufacturing execution systems, materials control, simulation and scheduling software and related software support services.

Display

In the Display business, Applied Materials is considered the number one producer of capital equipment by VLSI Research Inc. an industry market research firm. This number one position is a combination of an existing business unit combined with the display business of Applied Films, acquired in mid-2006.

The manufacturing process for thin film transistor liquid crystal displays (TFT-LCD's), commonly employed in notebook computers, desktop monitors, and now in televisions is similar to that employed for integrated circuits. In cleanroom environments both TFT-LCD and integrated circuit (IC) production use photolithography, chemical and physical vapor deposition, and advanced testing techniques.

Energy and Environmental Solutions

With the acquisition of Applied Films, Applied Materials entered the Glass coating
Coating
Coating is a covering that is applied to the surface of an object, usually referred to as the substrate. In many cases coatings are applied to improve surface properties of the substrate, such as appearance, adhesion, wetability, corrosion resistance, wear resistance, and scratch resistance...

 and Web coating businesses as well and both businesses are leaders in their markets. Also in 2006, Applied announced it was entering the solar manufacturing equipment business. The Solar, Glass and Web businesses are now organized into the EES sector of the Company.

In 2007, Applied announced the Applied SunFabTM thin film
Thin film
A thin film is a layer of material ranging from fractions of a nanometer to several micrometers in thickness. Electronic semiconductor devices and optical coatings are the main applications benefiting from thin film construction....

 photovoltaic module production line, with single or tandem junction capability . SunFab applies silicon thin film layers to glass substrate that then produce electricity when exposed to sunlight. The unique aspect of SunFab is the use of large glass substrates, measuring 5.7 m2 (61 sq ft. or larger than a king size bed). Moser Baer
Moser Baer
Moser Baer is the world's 2nd largest optical storage media manufacturer and supplies to the world's top dozen brands. They now make CD-R's for Memorex , TDK , Verbatim Sony and Agfa-Gevaert . They used to make CD-R's for Imation and Staples . The company was founded in New Delhi in 1983...

 has signed a deal with Applied Materials and started a plant in NOIDA
Noida
Noida , short for the New Okhla Industrial Development Area, is an area in India under the management of the New Okhla Industrial Development Authority . Noida came into administrative existence on 17 April 1976 and celebrates 17 April as "Noida Day". It was set up as part of an urbanization...

, India where Applied Engineers are setting up the fab. Moser Baer is also expected to start one more plant in Chennai, India.

Applied has also penetrated the crystalline silicon solar market. In 2008, Applied acquired privately-held, Italy-based Baccini SpA for $330M giving Applied significant market share in the metallization steps of solar cell manufacturing. In the previous year, Applied acquired privately-held, Switzerland-based HCT Shaping Systems SA, the largest specialist in wafer sawing tools for both solar and semiconductor wafer markets, paying approximately $475 million.

Applied was listed as the leading supplier of PV (photovoltaic) manufacturing equipment for 2008 according to VLSI Research with sales of $797M.

Parts Center

Launched in 2011, the Applied Materials Parts Center is an easy online resource to source Applied Materials certified equipment parts. It includes spare parts for Applied's semiconductor equipment as well as parts for Baccini Cell Systems and PWS wire saws.

Applied Ventures

Applied Materials also operates a venture
Venture capital
Venture capital is financial capital provided to early-stage, high-potential, high risk, growth startup companies. The venture capital fund makes money by owning equity in the companies it invests in, which usually have a novel technology or business model in high technology industries, such as...

 investing arm called Applied Ventures.

Applied Ventures invests in early-stage technology companies that promise to deliver high growth and exceptional returns. Its charter is to profitably invest in companies that provide a window on technologies that advance or complement Applied Materials' core expertise. These investments help develop technologies and markets that provide natural extensions to Applied Materials' core business and stimulate the growth of applications for semiconductors, displays, solar PV, and related products and services.

Investment Areas
  • Advanced materials
  • Display technologies
  • Energy conversion & storage
  • Data storage
  • Medical diagnostic and technologies
  • Process advancements
-Progress advances beyond 32nm node
-Advanced packaging
-Advanced patterning
-Metrology and inspection
-Software
  • Solid state lighting
  • Sustainability & conservation
-Emission reduction
-Energy efficiency
-Water filtration, purification, desalination

Facilities

The company has offices in 16 countries, United States, Japan, China, Singapore, Korea, Germany, Taiwan, France, Spain, Italy, India, Ireland, Israel, Netherlands, United Kingdom and Switzerland. Its Santa Clara worldwide headquarters was built in 1998, and features a large outdoor sculpture ("Etude") by artist Richard Deutsch
Richard Deutsch
Richard Deutsch is an American sculptor who works primarily in the Minimalist and Expressionist genres. Although his work ranges from small table-top pieces to multi-story sculptures, Deutsch "is well-known for his large-scale architectural and environmental projects."-Life and career:Deutsch was...

.

Management


Customers

  • Advanced Micro Devices
    Advanced Micro Devices
    Advanced Micro Devices, Inc. or AMD is an American multinational semiconductor company based in Sunnyvale, California, that develops computer processors and related technologies for commercial and consumer markets...

     (AMD)
  • Freescale Semiconductor
    Freescale Semiconductor
    Freescale Semiconductor, Inc. is a producer and designer of embedded hardware, with 17 billion semiconductor chips in use around the world. The company focuses on the automotive, consumer, industrial and networking markets with its product portfolio including microprocessors, microcontrollers,...

  • Hynix
    Hynix
    Hynix Semiconductor Inc. chips and flash memory chips. Founded in 1983, Hynix is the world's second-largest memory chipmaker, the largest being Samsung Electronics. Formerly known as Hyundai Electronics, the company has manufacturing sites in Korea, the U.S., China and Taiwan...

  • IBM
    IBM
    International Business Machines Corporation or IBM is an American multinational technology and consulting corporation headquartered in Armonk, New York, United States. IBM manufactures and sells computer hardware and software, and it offers infrastructure, hosting and consulting services in areas...

  • Infineon
  • Intel
  • Panasonic
  • Micron Technology
    Micron Technology
    Micron Technology, Inc. is an American multinational corporation based in Boise, Idaho, USA, best known for producing many forms of semiconductor devices. This includes DRAM, SDRAM, flash memory, SSD and CMOS image sensing chips. Consumers may be more familiar with its consumer brand Crucial...

  • Moser Baer
    Moser Baer
    Moser Baer is the world's 2nd largest optical storage media manufacturer and supplies to the world's top dozen brands. They now make CD-R's for Memorex , TDK , Verbatim Sony and Agfa-Gevaert . They used to make CD-R's for Imation and Staples . The company was founded in New Delhi in 1983...

  • NEC
    NEC
    , a Japanese multinational IT company, has its headquarters in Minato, Tokyo, Japan. NEC, part of the Sumitomo Group, provides information technology and network solutions to business enterprises, communications services providers and government....

  • Photronics Inc
    Photronics Inc
    Photronics, Inc is a semiconductor photomask manufacturer. It was a third largest photomask supplier at 2009- History :Founded 1969 at Danbury, Connecticut as "Photronic Labs, Inc." It has manufacturing facilities at USA , Europe , Taiwan , and one in Korea and in Singapore.-Customers:20 largest...

  • Powerchip Semiconductor
    Powerchip Semiconductor
    Powerchip Technology Corporation is a maker of memory chips and also provides foundry services to the customers.Powerchip was incorporated in 1994 at Hsinchu Science Park in Taiwan. The company became a publicly listed company on the Taiwan GreTai Securities market, or the GTSM...

  • ProMos Technologies
  • Qimonda
    Qimonda
    Qimonda AG, was a memory company split out of Infineon Technologies on 1 May 2006, to form at the time the second largest DRAM company worldwide, according to the industry research firm Gartner Dataquest...

  • Qualcomm
    Qualcomm
    Qualcomm is an American global telecommunication corporation that designs, manufactures and markets digital wireless telecommunications products and services based on its code division multiple access technology and other technologies. Headquartered in San Diego, CA, USA...

  • Renesas
  • RexChip
  • Samsung Electronics
    Samsung Electronics
    Samsung Electronics is a South Korean multinational electronics and information technology company headquartered in Samsung Town, Seoul...

  • Seagate Technologies
  • Sharp
    Sharp Corporation
    is a Japanese multinational corporation that designs and manufactures electronic products. Headquartered in Abeno-ku, Osaka, Japan, Sharp employs more than 55,580 people worldwide as of June 2011. The company was founded in September 1912 and takes its name from one of its founder's first...

  • Sony
    Sony
    , commonly referred to as Sony, is a Japanese multinational conglomerate corporation headquartered in Minato, Tokyo, Japan and the world's fifth largest media conglomerate measured by revenues....

  • STMicroelectronics
    STMicroelectronics
    STMicroelectronics is an Italian-French electronics and semiconductor manufacturer headquartered in Geneva, Switzerland.While STMicroelectronics corporate headquarters and the headquarters for EMEA region are based in Geneva, the holding company, STMicroelectronics N.V. is registered in Amsterdam,...

  • Toshiba
    Toshiba
    is a multinational electronics and electrical equipment corporation headquartered in Tokyo, Japan. It is a diversified manufacturer and marketer of electrical products, spanning information & communications equipment and systems, Internet-based solutions and services, electronic components and...

  • TSMC
    TSMC
    Taiwan Semiconductor Manufacturing Company, Limited or TSMC is the world's largest dedicated independent semiconductor foundry, with its headquarters and main operations located in the Hsinchu Science Park in Hsinchu, Taiwan.-Overview:...

  • Texas Instruments
    Texas Instruments
    Texas Instruments Inc. , widely known as TI, is an American company based in Dallas, Texas, United States, which develops and commercializes semiconductor and computer technology...

  • UMC
    United Microelectronics Corporation
    UMC was founded as Taiwan's first semiconductor company in 1980 as a spin-off of the government-sponsored Industrial Technology Research Institute .-Overview:...

  • Vishay Intertechnology Inc.
  • Winbond
    Winbond
    Winbond Electronics Corporation is a Taiwan-based corporation founded in 1987 that produces semiconductors and several types of integrated circuits, most notably Dynamic RAM, Static RAM, microcontrollers, and personal computer ICs...


Competitors

  • Anwell Technologies Limited
    Anwell Technologies Limited
    Anwell Technologies Limited is a supplier of manufacturing equipment with trading and manufacturing business in optical disc and solar cell. They vertically integrated into manufacturing business of optical disc and solar cell in 2007 and 2008...

  • ASML Holding
    ASML Holding
    ASML is a Dutch company and the largest supplier in the world of photolithography systems for the semiconductor industry. The company manufactures machines for the production of integrated circuits , such as RAM and flash memory chips and CPUs.-Products:...

  • ASM International
    ASM International
    ASM International, formerly known as the American Society for Metals, is a professional organization for materials scientists and engineers working with metals....

  • Aviza Technology Inc.
  • Axcelis Technologies
    Axcelis Technologies
    Axcelis Technologies, Inc. engages in the design, manufacture, and servicing of capital equipment for the semiconductor manufacturing industry worldwide...

  • DAS GmbH, Dresden, Germany
  • Ebara Corporation, Japan
  • Intevac, Inc.
  • KLA-Tencor
  • Hermes Microvision Inc.
  • Kornic Systems Corporation, Korea
  • Lam Research
    Lam Research
    Lam Research Corporation engages in the design, manufacture, marketing, and service of semiconductor processing equipment used in the fabrication of integrated circuits. Its products include etch systems, including dielectric etch products, conductor etch products, and resist strip products, as...

  • Novellus Systems
    Novellus Systems
    Novellus Systems Inc. develops, manufactures, sells, and services semiconductor equipment used in the fabrication of integrated circuits. It is a leading supplier of chemical vapor deposition , plasma-enhanced chemical vapor deposition , physical vapor deposition , electrochemical deposition ,...

  • Oerlikon Solar
    Oerlikon Solar
    Oerlikon Solar is a manufacturer of thin-film silicon solar modules.Oerlikon Solar has 12 factories in production in seven countries, almost 3 million modules produced and 450 MW of installed capacity worldwide on the fast-growing thin film silicon PV market.Oerlikon Solar has Micromorph patents...

     (a-Si).
  • Semtech solutions
    Semtech Solutions
    SEMTech Solutions is a supplier of used Scanning Electron Microscopes. Founded in 2000, SEMTech Solutions has grown to providing services worldwide. They have sold microscopes in a number of different countries abroad and across the United States....

    , Inc.
  • TEL
    TEL
    - Acronyms :TEL is a three-letter acronym for:* Tetra-ethyl lead, a gasoline additive to make leaded gasoline* Tokyo Electron, a semiconductor equipment manufacturer* Transporter erector launcher, a mobile missile launch platform...

  • Ulvac
  • Plasma-Therm

Certification

Applied’s SunFab line has very recently been certified by the International Electrotechnical Commission
International Electrotechnical Commission
The International Electrotechnical Commission is a non-profit, non-governmental international standards organization that prepares and publishes International Standards for all electrical, electronic and related technologies – collectively known as "electrotechnology"...

 (IEC) .

History

Applied Materials' acquisition of Semitool Inc. was completed in December 2009.

In 1992, the corporation settled a lawsuit with three former employees for an estimated $600,000. The suit complained that the employees were driven out of the company after complaining about the courses Applied Scholastics
Applied Scholastics
Applied Scholastics is a non-profit corporation founded in 1972 to promote the use of study techniques created by L. Ron Hubbard, a science fiction author and the founder of the Church of Scientology...

had been hired to teach there.

External links

The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK