65 nanometer
Encyclopedia
The 65 nm
Nanometre
A nanometre is a unit of length in the metric system, equal to one billionth of a metre. The name combines the SI prefix nano- with the parent unit name metre .The nanometre is often used to express dimensions on the atomic scale: the diameter...

process is an advanced lithographic
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

 node used in volume CMOS
CMOS
Complementary metal–oxide–semiconductor is a technology for constructing integrated circuits. CMOS technology is used in microprocessors, microcontrollers, static RAM, and other digital logic circuits...

 semiconductor fabrication
Semiconductor fabrication
Semiconductor device fabrication is the process used to create the integrated circuits that are present in everyday electrical and electronic devices. It is a multiple-step sequence of photolithographic and chemical processing steps during which electronic circuits are gradually created on a wafer...

. Printed linewidths (i.e., transistor
MOSFET
The metal–oxide–semiconductor field-effect transistor is a transistor used for amplifying or switching electronic signals. The basic principle of this kind of transistor was first patented by Julius Edgar Lilienfeld in 1925...

 gate lengths) can reach as low as 25 nm on a nominally 65 nm process, while the pitch between two lines may be greater than 130 nm.. For comparison, cellular ribosome
Ribosome
A ribosome is a component of cells that assembles the twenty specific amino acid molecules to form the particular protein molecule determined by the nucleotide sequence of an RNA molecule....

s are about 20 nm end-to-end. A crystal of bulk silicon
Silicon
Silicon is a chemical element with the symbol Si and atomic number 14. A tetravalent metalloid, it is less reactive than its chemical analog carbon, the nonmetal directly above it in the periodic table, but more reactive than germanium, the metalloid directly below it in the table...

 has a lattice constant
Lattice constant
The lattice constant [or lattice parameter] refers to the constant distance between unit cells in a crystal lattice. Lattices in three dimensions generally have three lattice constants, referred to as a, b, and c. However, in the special case of cubic crystal structures, all of the constants are...

 of 0.543 nm, so such transistors are on the order of 100 atom
Atom
The atom is a basic unit of matter that consists of a dense central nucleus surrounded by a cloud of negatively charged electrons. The atomic nucleus contains a mix of positively charged protons and electrically neutral neutrons...

s across. By September 2007, Intel, AMD, IBM
IBM
International Business Machines Corporation or IBM is an American multinational technology and consulting corporation headquartered in Armonk, New York, United States. IBM manufactures and sells computer hardware and software, and it offers infrastructure, hosting and consulting services in areas...

, UMC
United Microelectronics Corporation
UMC was founded as Taiwan's first semiconductor company in 1980 as a spin-off of the government-sponsored Industrial Technology Research Institute .-Overview:...

, Chartered and TSMC
TSMC
Taiwan Semiconductor Manufacturing Company, Limited or TSMC is the world's largest dedicated independent semiconductor foundry, with its headquarters and main operations located in the Hsinchu Science Park in Hsinchu, Taiwan.-Overview:...

 were producing 65 nm chips.

While feature sizes may be drawn as 65 nm or less, the wavelengths of light used for lithography
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

 are 193 nm and 248 nm. Fabrication of sub-wavelength features requires special imaging technologies, such as optical proximity correction
Optical proximity correction
Optical proximity correction is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects...

 and phase-shifting masks. The cost of these techniques adds substantially to the cost of manufacturing sub-wavelength semiconductor products, with the cost increasing exponentially with each advancing technology node. Furthermore, these costs are multiplied by an increasing number of mask layers that must be printed at the minimum pitch, and the reduction in yield from printing so many layers at the cutting edge of the technology. For new integrated circuit designs, this factors into the costs of prototyping and production.

Gate thickness, another important dimension, is reduced to as little as 1.2 nm (Intel). Only a few atoms insulate the "switch" part of the transistor, causing charge to flow through it. This undesired effect, leakage
Leakage (semiconductors)
In semiconductor devices, leakage is a quantum phenomenon where mobile charge carriers tunnel through an insulating region. Leakage increases exponentially as the thickness of the insulating region decreases. Tunneling leakage can also occur across semiconductor junctions between heavily doped...

, is caused by quantum tunneling. The new chemistry of high-k gate dielectrics must be combined with existing techniques including substrate bias and multiple threshold voltages to prevent leakage from prohibitively consuming power.

IEDM
International Electron Devices Meeting
The IEEE International Electron Devices Meeting is an annual electronics conference held alternately in San Francisco, California and Washington D.C. each December...

 papers from Intel in 2002, 2004, and 2005 illustrate the industry trend that the transistor sizes can no longer scale along with the rest of the feature dimensions (gate length only changed from 220 nm to 210 nm going from 90 nm to 65 nm technologies). However, the interconnects (metal and poly pitch) continue to shrink, thus reducing chip area and chip cost, as well as shortening the distance between transistors, leading to higher performance devices of greater complexity when compared with earlier nodes.

Example: Fujitsu 65 nm process

  • Gate length: 30 nm (high-performance) to 50 nm (low-power)
  • Core voltage: 1.0 V
  • 11 Cu interconnect layers using nano-clustering silica as ultralow k dielectric
    Low-K
    In semiconductor manufacturing, a low-κ dielectric is a material with a small dielectric constant relative to silicon dioxide. Although the proper symbol for the dielectric constant is the Greek letter κ , in conversation such materials are referred to as being "low-k" rather than "low-κ"...

     (k=2.25)
  • Metal 1 pitch: 180 nm
  • Nickel silicide source/drain
  • Gate oxide thickness: 1.9 nm (n), 2.1 nm (p)


There are actually two versions of the process: CS200, focusing on high performance, and CS200A, focusing on low power.

Processors using 65 nm manufacturing technology

  • Intel Pentium 4
    Pentium 4
    Pentium 4 was a line of single-core desktop and laptop central processing units , introduced by Intel on November 20, 2000 and shipped through August 8, 2008. They had a 7th-generation x86 microarchitecture, called NetBurst, which was the company's first all-new design since the introduction of the...

     (Cedar Mill) – 2006-01-16
  • Intel Pentium D
    Pentium D
    The Pentium D brand refers to two series of desktop dual-core 64-bit x86-64 microprocessors with the NetBurst microarchitecture manufactured by Intel. Each CPU comprised two dies, each containing a single core, residing next to each other on a multi-chip module package. The brand's first processor,...

     900-series – 2006-01-16
  • Intel Celeron D (Cedar Mill cores) – 2006-05-28
  • Intel Core
    Intel Core
    Yonah was the code name for Intel's first generation of 65 nm process mobile microprocessors, based on the Banias/Dothan-core Pentium M microarchitecture. SIMD performance has been improved through the addition of SSE3 instructions and improvements to SSE and SSE2 implementations, while integer...

     – 2006-01-05
  • Intel Core 2 – 2006-07-27
  • Intel Xeon
    Xeon
    The Xeon is a brand of multiprocessing- or multi-socket-capable x86 microprocessors from Intel Corporation targeted at the non-consumer server, workstation and embedded system markets.-Overview:...

     (Sossaman) – 2006-03-14
  • AMD Athlon 64
    Athlon 64
    The Athlon 64 is an eighth-generation, AMD64-architecture microprocessor produced by AMD, released on September 23, 2003. It is the third processor to bear the name Athlon, and the immediate successor to the Athlon XP...

     series (starting from Lima) – 2007-02-20
  • AMD Turion 64 X2 series (starting from Tyler)- 2007-05-07
  • AMD Phenom
    Phenom (processor)
    Phenom is the 64-bit AMD desktop processor line based on the K10 microarchitecture, in what AMD calls family 10h processors, sometimes incorrectly called "K10h". Triple-core versions belong to the Phenom 8000 series and quad cores to the AMD Phenom X4 9000 series...

     series
  • IBM's Cell Processor – PlayStation 3
    PlayStation 3
    The is the third home video game console produced by Sony Computer Entertainment and the successor to the PlayStation 2 as part of the PlayStation series. The PlayStation 3 competes with Microsoft's Xbox 360 and Nintendo's Wii as part of the seventh generation of video game consoles...

     – 2007-11-17
  • IBM's z10
    IBM z10 (microprocessor)
    The z10 is a microprocessor chip made by IBM for their System z10 mainframe computers, released February 26, 2008. It was called "z6" during development.- Description :...

  • Microsoft Xbox 360 "Falcon" CPU – 2007–09
  • Microsoft Xbox 360 "Opus" CPU – 2008
  • Microsoft Xbox 360 "Jasper" CPU – 2008–10
  • Microsoft Xbox 360 "Jasper" GPU – 2008–10
  • Sun UltraSPARC T2
    UltraSPARC T2
    Sun Microsystems' UltraSPARC T2 microprocessor is a multithreading, multi-core CPU. It is a member of the SPARC family, and the successor to the UltraSPARC T1. The chip is sometimes referred to by its codename, Niagara 2...

     – 2007–10
  • AMD Turion Ultra – 2008-06
  • TI OMAP 3 Family – 2008-02
  • VIA Nano
    VIA Nano
    The VIA Nano is a 64-bit CPU for personal computers. The VIA Nano was released by VIA Technologies in 2008 after five years of development by its CPU division, Centaur Technology...

     – 2008-05
  • Loongson – 2009
The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK