UltraSPARC T2
Encyclopedia
Sun Microsystems
Sun Microsystems
Sun Microsystems, Inc. was a company that sold :computers, computer components, :computer software, and :information technology services. Sun was founded on February 24, 1982...

' UltraSPARC T2 microprocessor
Microprocessor
A microprocessor incorporates the functions of a computer's central processing unit on a single integrated circuit, or at most a few integrated circuits. It is a multipurpose, programmable device that accepts digital data as input, processes it according to instructions stored in its memory, and...

 is a multithreading
Multithreading (computer hardware)
Multithreading computers have hardware support to efficiently execute multiple threads. These are distinguished from multiprocessing systems in that the threads have to share the resources of a single core: the computing units, the CPU caches and the translation lookaside buffer...

, multi-core
Multi-core (computing)
A multi-core processor is a single computing component with two or more independent actual processors , which are the units that read and execute program instructions...

 CPU
Central processing unit
The central processing unit is the portion of a computer system that carries out the instructions of a computer program, to perform the basic arithmetical, logical, and input/output operations of the system. The CPU plays a role somewhat analogous to the brain in the computer. The term has been in...

. It is a member of the SPARC
SPARC
SPARC is a RISC instruction set architecture developed by Sun Microsystems and introduced in mid-1987....

 family, and the successor to the UltraSPARC T1
UltraSPARC T1
|right|262px|UltraSPARC T1 processorSun Microsystems' UltraSPARC T1 microprocessor, known until its 14 November 2005 announcement by its development codename "Niagara", is a multithreading, multicore CPU...

. The chip is sometimes referred to by its codename, Niagara 2. Sun started selling servers with the T2 processor in October 2007.

UltraSPARC T2 New features

The T2 is a commodity derivative of the UltraSPARC
SPARC
SPARC is a RISC instruction set architecture developed by Sun Microsystems and introduced in mid-1987....

 series of microprocessors, targeting Internet workloads in computers, storage and networking devices. The processor, manufactured in 65 nm, is available with eight CPU cores, and each core is able to handle eight thread
Thread (computer science)
In computer science, a thread of execution is the smallest unit of processing that can be scheduled by an operating system. The implementation of threads and processes differs from one operating system to another, but in most cases, a thread is contained inside a process...

s concurrently. Thus the processor is capable of processing up to 64 concurrent threads. Other new features include:
  • Speed bump for each thread, increased to 1.6 GHz
    GHZ
    GHZ or GHz may refer to:# Gigahertz .# Greenberger-Horne-Zeilinger state — a quantum entanglement of three particles.# Galactic Habitable Zone — the region of a galaxy that is favorable to the formation of life....

     from 1.2 GHz
  • One PCI Express
    PCI Express
    PCI Express , officially abbreviated as PCIe, is a computer expansion card standard designed to replace the older PCI, PCI-X, and AGP bus standards...

     port (x8 1.0) vs. the T1's JBus interface
  • Two Sun Neptune
    Sun Neptune
    Neptune, also known as Sun Multithreaded 10 GbE, is a dual 10 Gbit/s, multithreaded, PCIe x8-based network card. It was developed and originally produced by Sun Microsystems, and later licensed to Marvell....

     10 Gigabit Ethernet
    10 Gigabit Ethernet
    The 10 gigabit Ethernet computer networking standard was first published in 2002. It defines a version of Ethernet with a nominal data rate of 10 Gbit/s , ten times faster than gigabit Ethernet.10 gigabit Ethernet defines only full duplex point to point links which are generally connected by...

     ports (embedded into the T2 processor) with packet classification and filtering
  • L2 cache
    CPU cache
    A CPU cache is a cache used by the central processing unit of a computer to reduce the average time to access memory. The cache is a smaller, faster memory which stores copies of the data from the most frequently used main memory locations...

     size increased to 4 MB (8-banks, 16-way associative) from 3 MB
  • Improved thread scheduling and instruction prefetching to achieve higher single-threaded performance
  • Two integer ALU
    Arithmetic logic unit
    In computing, an arithmetic logic unit is a digital circuit that performs arithmetic and logical operations.The ALU is a fundamental building block of the central processing unit of a computer, and even the simplest microprocessors contain one for purposes such as maintaining timers...

    s per core instead of one, each one being shared by a group of four threads
  • One floating point unit
    Floating point unit
    A floating-point unit is a part of a computer system specially designed to carry out operations on floating point numbers. Typical operations are addition, subtraction, multiplication, division, and square root...

     per core, up from just one FPU
    Floating point unit
    A floating-point unit is a part of a computer system specially designed to carry out operations on floating point numbers. Typical operations are addition, subtraction, multiplication, division, and square root...

     for the entire chip
    Microprocessor
    A microprocessor incorporates the functions of a computer's central processing unit on a single integrated circuit, or at most a few integrated circuits. It is a multipurpose, programmable device that accepts digital data as input, processes it according to instructions stored in its memory, and...

  • Eight encryption engines, with each supporting DES
    Data Encryption Standard
    The Data Encryption Standard is a block cipher that uses shared secret encryption. It was selected by the National Bureau of Standards as an official Federal Information Processing Standard for the United States in 1976 and which has subsequently enjoyed widespread use internationally. It is...

    , Triple DES
    Triple DES
    In cryptography, Triple DES is the common name for the Triple Data Encryption Algorithm block cipher, which applies the Data Encryption Standard cipher algorithm three times to each data block....

    , AES
    Advanced Encryption Standard
    Advanced Encryption Standard is a specification for the encryption of electronic data. It has been adopted by the U.S. government and is now used worldwide. It supersedes DES...

    , RC4
    RC4
    In cryptography, RC4 is the most widely used software stream cipher and is used in popular protocols such as Secure Sockets Layer and WEP...

    , SHA1, SHA256, MD5
    MD5
    The MD5 Message-Digest Algorithm is a widely used cryptographic hash function that produces a 128-bit hash value. Specified in RFC 1321, MD5 has been employed in a wide variety of security applications, and is also commonly used to check data integrity...

    , RSA-2048, ECC
    Elliptic curve cryptography
    Elliptic curve cryptography is an approach to public-key cryptography based on the algebraic structure of elliptic curves over finite fields. The use of elliptic curves in cryptography was suggested independently by Neal Koblitz and Victor S...

    , CRC32
  • Four dual-channel FBDIMM memory controller
    Memory controller
    The memory controller is a digital circuit which manages the flow of data going to and from the main memory. It can be a separate chip or integrated into another chip, such as on the die of a microprocessor...

    s

Core pipeline

There are 8 stages for integer operations, instead of 6 in the T1.
Processor Stages
T1's pipeline Fetch -------> Thread Selection Decode Execute Memory Access -------> Writeback
T2's pipeline Fetch Cache Thread Selection Decode Execute Memory Access Bypass Writeback

T2 Systems

The T2 processor can be found in the following products from Sun and Fujitsu Computer Systems
Fujitsu
is a Japanese multinational information technology equipment and services company headquartered in Tokyo, Japan. It is the world's third-largest IT services provider measured by revenues....

:
  • Sun/Fujitsu/Fujitsu Siemens SPARC Enterprise
    SPARC Enterprise
    The SPARC Enterprise series is a range of UNIX server computers co-developed by Sun Microsystems and Fujitsu introduced in 2007. They are marketed and sold by Sun Microsystems , Fujitsu, and Fujitsu Siemens Computers under the common brand of SPARC Enterprise, superseding Sun's Sun Fire and...

     T5120 and T5220 servers
  • Sun Blade T6320 Server Module
  • Sun Netra CP3260 Blade
  • Sun Netra T5220 Rackmount Server


Sun also licensed the T2 processor to Themis Computer, which introduced the first non-Sun T2-based servers in 2008:
  • Themis T2BC Blade Server, which supports the entire family IBM BladeCenter
    IBM BladeCenter
    The IBM BladeCenter is IBM's blade server architecture.-History:Originally introduced in 2002, based on engineering work started in 1999, the IBM BladeCenter was a relative late comer to the blade market. But, it differed from prior offerings in that it supported the full range of high powered x86...

     chassis

UltraSPARC T2+ Changes

In April 2008, Sun released servers with UltraSPARC T2 Plus, which is an SMP
Symmetric multiprocessing
In computing, symmetric multiprocessing involves a multiprocessor computer hardware architecture where two or more identical processors are connected to a single shared main memory and are controlled by a single OS instance. Most common multiprocessor systems today use an SMP architecture...

 capable version of UltraSPARC T2.

Sun released the UltraSPARC T2 Plus processor with the following changes:
  • Ability to be used in 2 or 4 processor configurations (first CoolThreads processor capable of multi-processor capability)
  • Loss of on-chip embedded 10 Gigabit Ethernet Controller

T2+ Systems

The T2 processor can be found in the following products from Sun and Fujitsu Computer Systems
Fujitsu
is a Japanese multinational information technology equipment and services company headquartered in Tokyo, Japan. It is the world's third-largest IT services provider measured by revenues....

:
UltraSPARC T2 Plus processors are used in the following two-way SMP servers:
  • Sun/Fujitsu/Fujitsu Siemens SPARC Enterprise T5140
  • Sun/Fujitsu/Fujitsu Siemens SPARC Enterprise T5240


UltraSPARC T2 Plus processors are used in the following four-way SMP server:
  • Sun/Fujitsu/Fujitsu Siemens SPARC Enterprise T5440

T2 Plus Compute Cluster

The High Performance Computing Virtual Laboratory (HPCVL) in Canada built a compute cluster using 78 Sun SPARC Enterprise T5140 servers. With two 1.2 GHz T2 Plus chips in each T5140 server, the cluster has close to 10,000 compute threads, making it ideal for high-throughput workloads.

Virtualization

Like the T1, the T2 supports the Hyper-Privileged execution mode. The SPARC Hypervisor runs in this mode and can partition a T2 system into 64 Logical Domains
Logical Domains
Logical Domains is the server virtualization and partitioning technology from Sun Microsystems released in April 2007. It has been re-branded as Oracle VM Server for SPARC since Oracle Corporation completed the acquisition of Sun in January 2010. Each domain is a full virtual machine with a...

, and a two-way SMP T2 Plus system into 128 Logical Domains, each of which can run an independent operating system instance.

Performance improvement versus T1

The UltraSPARC T2 offers a variety of performance improvements over the former UltraSPARC T1 processor
  • Integer throughput and throughput/watt (>2x improvement)
  • Integer single-thread performance (>1.4x improvement)
  • Better floating-point throughput (>10x improvement)
  • Better floating-point single-thread performance (>5x improvement)
  • Increased performance of cryptography through additional cyphers included in the embedded crypto cores
  • Two world-record single-chip SPEC
    Spec
    -Specification:* Specification , an explicit set of requirements to be satisfied by a material, product, or service** "Spec sheet" or datasheet used to describe something technical...

     CPU results, based on tests that delivered 78.5 SPECint_rate2006 and 62.3 SPECfp_rate2006

Other UltraSPARC T2 performance related tunings are documented on Sun engineers' blogs.

Power consumption

Peak power consumption can go as high as 123 watt
Watt
The watt is a derived unit of power in the International System of Units , named after the Scottish engineer James Watt . The unit, defined as one joule per second, measures the rate of energy conversion.-Definition:...

s, but the T2 typically consumes 95 watts during nominal system operation. This is up from 72 watts from the T1. Sun explains that this is due to a higher degree of system integration onto the chip.

Release history

On April 12, 2006, Sun announced the tape-out
Tape-out
In electronics design, tape-out or tapeout is the final result of the design cycle for integrated circuits or printed circuit boards, the point at which the artwork for the photomask of a circuit is sent for manufacture....

 of the UltraSPARC T2.
Sun announced the T2's release on 7 August 2007, billing it as "the world's fastest microprocessor".

On April 9, 2008, Sun announced the UltraSPARC T2 Plus.

Open design

On December 11, 2007, Sun made the UltraSPARC T2 processor design publicly available under the GNU General Public License
GNU General Public License
The GNU General Public License is the most widely used free software license, originally written by Richard Stallman for the GNU Project....

 via the OpenSPARC
OpenSPARC
OpenSPARC is an open-source hardware project started in December 2005. The initial contribution to the project was Sun Microsystems' register-transfer level Verilog code for a full 64-bit, 32-thread microprocessor, the UltraSPARC T1 processor. On 21 March 2006, Sun released the source code to the...

 project. The release includes:
  • Verilog
    Verilog
    In the semiconductor and electronic design industry, Verilog is a hardware description language used to model electronic systems. Verilog HDL, not to be confused with VHDL , is most commonly used in the design, verification, and implementation of digital logic chips at the register-transfer level...

     RTL source code of the design
  • Verification environment
  • Diagnostics tests
  • Open source tools, scripts and Sun internal tools needed to simulate the design
  • ISA
    Instruction set
    An instruction set, or instruction set architecture , is the part of the computer architecture related to programming, including the native data types, instructions, registers, addressing modes, memory architecture, interrupt and exception handling, and external I/O...

     specification (UltraSPARC Architecture 2007)
  • Solaris
    Solaris Operating System
    Solaris is a Unix operating system originally developed by Sun Microsystems. It superseded their earlier SunOS in 1993. Oracle Solaris, as it is now known, has been owned by Oracle Corporation since Oracle's acquisition of Sun in January 2010....

    10 OS simulation images

External links

The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK