Next-generation lithography
Encyclopedia
Next-generation lithography (NGL) is a term used in integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

 manufacturing to describe the lithography technologies slated to replace photolithography
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

. As of 2009 the most advanced form of photolithography is immersion lithography
Immersion lithography
Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one. The resolution is increased by a factor...

, in which water is used as an immersion medium for the final lens. It is being applied to the 45 nm and 32 nm nodes. Several companies, including IBM, Intel and TSMC
TSMC
Taiwan Semiconductor Manufacturing Company, Limited or TSMC is the world's largest dedicated independent semiconductor foundry, with its headquarters and main operations located in the Hsinchu Science Park in Hsinchu, Taiwan.-Overview:...

, have prepared for the continued use of current lithography, using double patterning
Double patterning
Multiple patterning is a class of technologies for manufacturing integrated circuits , developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected...

, for the 22 nm and 16 nm nodes, and extending double patterning beyond 11 nm.

Candidates for next-generation lithography include: extreme ultraviolet lithography
Extreme ultraviolet lithography
Extreme ultraviolet lithography is a next-generation lithography technology using an extreme ultraviolet wavelength, currently expected to be 13.5 nm.-EUVL light source:...

 (EUV-lithography), X-ray lithography
X-ray lithography
300px|thumbX-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate...

, electron beam lithography
Electron beam lithography
Electron beam lithography is the practice of emitting a beam of electrons in a patterned fashion across a surface covered with a film , and of selectively removing either exposed or non-exposed regions of the resist...

, focused ion beam
Focused ion beam
Focused ion beam, also known as FIB, is a technique used particularly in the semiconductor industry, materials science and increasingly in the biological field for site-specific analysis, deposition, and ablation of materials. An FIB setup is a scientific instrument that resembles a scanning...

 lithography, and nanoimprint lithography
Nanoimprint Lithography
Nanoimprint lithography is a method of fabricating nanometer scale patterns. It is a simple nanolithography process with low cost, high throughput and high resolution. It creates patterns by mechanical deformation of imprint resist and subsequent processes. The imprint resist is typically a monomer...

. Electron beam lithography was most popular during the 1970s, but was replaced in popularity by X-ray lithography during the 1980s and early 1990s, and then by EUV lithography from the mid-1990s to the mid-2000s. Focused ion beam lithography has carved a niche for itself in the area of defect repair. Nanoimprint's popularity is rising, and is positioned to succeed EUV as the most popular choice for next-generation lithography, due to its inherent simplicity and low cost of operation as well as its success in the LED
Light-emitting diode
A light-emitting diode is a semiconductor light source. LEDs are used as indicator lamps in many devices and are increasingly used for other lighting...

, hard-disk and microfluidics
Microfluidics
Microfluidics deals with the behavior, precise control and manipulation of fluids that are geometrically constrained to a small, typically sub-millimeter, scale.Typically, micro means one of the following features:* small volumes...

 sectors.

The rise and fall in popularity of each NGL candidate largely hinged on its throughput capability and its cost of operation and implementation. Electron beam and nanoimprint lithography are limited mainly by the throughput, while EUV and X-ray lithography are limited by implementation and operation costs. The projection of charged particles (ions or electrons) through stencil masks was also popularly considered in the early 2000s but eventually fell victim to both low throughput and implementation difficulties.

Ironically, each NGL candidate faced more competition from the extension of photolithography than from any other NGL candidate, as more and more methods of improving photolithography continued to be developed, including optical proximity correction
Optical proximity correction
Optical proximity correction is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects...

, off-axis illumination
Off-axis illumination
In photolithography, off-axis illumination is an optical system setup in which the incoming light strikes the photomask at an oblique angle rather than perpendicularly to it, that is to say, the incident light is not parallel to the axis of the optical system....

, phase-shift mask
Phase-shift mask
Phase-shift masks are photomasks that take advantage of the interference generated by phase differences to improve image resolution in photolithography...

s, liquid immersion lithography
Immersion lithography
Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one. The resolution is increased by a factor...

, and double patterning
Double patterning
Multiple patterning is a class of technologies for manufacturing integrated circuits , developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected...

. Even within the area of photolithography, there is a list of "next-generation" techniques, including two-photon lithography, 157 nm wavelength, and high-index immersion.

NGL issues

Fundamental issues

Regardless of whether NGL or photolithography is used, etching of polymer (resist) is the last step. Ultimately the quality (roughness) as well as resolution of this polymer etching limits the inherent resolution of the lithography technique. Next generation lithography also generally makes use of ionizing radiation
Ionizing radiation
Ionizing radiation is radiation composed of particles that individually have sufficient energy to remove an electron from an atom or molecule. This ionization produces free radicals, which are atoms or molecules containing unpaired electrons...

, leading to secondary electrons
Secondary electrons
Secondary electrons are electrons generated as ionization products. They are called 'secondary' because they are generated by other radiation . This radiation can be in the form of ions, electrons, or photons with sufficiently high energy, i.e. exceeding the ionization potential...

 which can limit resolution to effectively > 20 nm.

Market issues

The above-mentioned competition between NGL and the recurring extension of photolithography, where the latter consistently wins, may be more a strategic than a technical matter. If a highly scalable NGL technology were to become readily available, late adopters of leading-edge technology would immediately have the opportunity to leap-frog the current use of advanced but costly photolithography techniques, at the expense of the early adopters of leading-edge technology, who have been the key investors in NGL. While this would level the playing field, it is disruptive enough to the industry landscape that the leading semiconductor companies would probably not want to see it happen.

The following example would make this clearer. Suppose company A manufactures down to 130 nm, while company B manufactures down to 22 nm, by extending its photolithography capability through years of successive resolution enhancements, such as wavelength change (i.e., from 248 nm to 193 nm), more and more aggressive optical proximity correction, more and more costly phase-shift masks, converting to immersion lithography and finally, implementing double patterning. If an NGL were deployed for the 15 nm node, both companies would benefit, but company A currently manufacturing at the 130 nm node would benefit much more because it would immediately be able to use the NGL for manufacturing at all design rules from 130 nm down to 15 nm (skipping all the said resolution enhancements), while company B would only benefit starting at the 15 nm node, having already spent much on extending photolithography from its 130 nm process down to 22 nm. The gap between Company B, whose customers expect it to advance the leading edge, and Company A, whose customers don't expect an equally aggressive roadmap, will continue to widen as NGL is delayed and photolithography is extended at greater and greater cost, making the deployment of NGL less and less attractive strategically for Company B. With NGL deployment, customers will also be able to demand lower prices for products made at advanced generations.

This becomes more clear when considering that each resolution enhancement technique applied to photolithography generally extends the capability by only one or two generations. For this reason, the observation that "optical lithography will live forever" will likely hold, as the early adopters of leading-edge technology will never benefit from highly scalable lithography technologies in a competitive environment.

Summary Table

technique single exposure resolution max. mask defect height max. mask defect size throughput issues
193 nm 1.35 NA (current) 40 nm 34 nm 80 nm 130 WPH water immersion
193 nm 1.7 NA 30 nm 34 nm 60 nm TBD high-index materials needed (possibly prohibitive)
157 nm 1.7 NA 25 nm 24 nm 50 nm development stopped lack of materials; CaF2 required to replace fused silica (prohibitive)
13.5 nm 0.25 NA (EUVL) ~ 30 nm (secondary electrons) 0.4 nm (prohibitive) 40 nm 4 WPH (prohibitive) ionization; shot noise; throughput; mask defects
X-ray proximity printing ~ 30 nm (secondary electrons) > 100 nm depends on proximity gap; matches mask (possibly prohibitive) comparable to optical mask membrane (prohibitive); source (possibly prohibitive)
Nanoimprint N/A (matches template) residual layer thickness 0 nm (prohibitive) >1 WPH bubble defects (possibly prohibitive); master template lithography (prohibitive if electron-beam); master template inspection
Electron beam ~ 30 nm (secondary electrons) N/A N/A case-dependent but prohibitively slow charging; shot noise; interactions between parallel electrons
Charged particle projection ~ 30 nm (secondary electrons) case-dependent for scattering membrane; N/A for stencil case-dependent for scattering membrane; N/A for stencil trade-off with exposure current, i.e., resolution (prohibitive) charging; contamination of stencil openings; double stencil exposure required for islands; mask membrane (prohibitive)


While only high-index immersion (which strictly is more a proposed extension of photolithography than an NGL) does not immediately face prohibitive issues, future developments may uncover severe enough problems to prohibit their use. The complexities of next-generation lithography development have always spurred the pursuit of ways of extending the use of incumbent lithographic materials, light sources, and tools.

See also

  • Computational lithography
    Computational lithography
    Computational lithography is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography...

  • Nanolithography
    Nanolithography
    Nanolithography is the branch of nanotechnology concerned with the study and application of fabricating nanometer-scale structures, meaning patterns with at least one lateral dimension between the size of an individual atom and approximately 100 nm...

  • Photolithography
    Photolithography
    Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK