45 nanometer
Encyclopedia
Per the International Technology Roadmap for Semiconductors
International Technology Roadmap for Semiconductors
The International Technology Roadmap for Semiconductors is a set of documents produced by a group of semiconductor industry experts. These experts are representative of the sponsoring organisations which include the Semiconductor Industry Associations of the US, Europe, Japan, South Korea and...

, the 45 nm technology node should refer to the average half-pitch of a memory cell manufactured at around the 2007–2008 time frame.

Matsushita
Matsushita Electric Industrial Co.
, formerly known as , is a Japanese multinational consumer electronics corporation headquartered in Kadoma, Osaka, Japan. Its main business is in electronics manufacturing....

 and Intel started mass producing 45 nm chips in late 2007, and AMD
Advanced Micro Devices
Advanced Micro Devices, Inc. or AMD is an American multinational semiconductor company based in Sunnyvale, California, that develops computer processors and related technologies for commercial and consumer markets...

 started production of 45 nm chips in late 2008, while IBM
IBM
International Business Machines Corporation or IBM is an American multinational technology and consulting corporation headquartered in Armonk, New York, United States. IBM manufactures and sells computer hardware and software, and it offers infrastructure, hosting and consulting services in areas...

, Infineon, Samsung
Samsung
The Samsung Group is a South Korean multinational conglomerate corporation headquartered in Samsung Town, Seoul, South Korea...

, and Chartered Semiconductor have already completed a common 45 nm process platform. At the end of 2008, SMIC
Semiconductor Manufacturing International Corporation
Semiconductor Manufacturing International Corporation, is a semiconductor foundry in mainland China, providing integrated circuit manufacturing services at 350 nm to 45nm technologies...

 was the first China-based semiconductor company to move to 45 nm, having licensed the bulk 45 nm process from IBM.

Many critical feature sizes are smaller than the wavelength of light used for lithography
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

 (i.e., 193 nm and/or 248 nm). A variety of techniques, such as larger lenses, are used to make sub-wavelength features. Double patterning
Double patterning
Multiple patterning is a class of technologies for manufacturing integrated circuits , developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected...

 has also been introduced to assist in shrinking distances between features, especially if dry lithography is used. It is expected that more layers will be patterned with 193 nm wavelength at the 45 nm node. Moving previously loose layers (such as Metal 4 and Metal 5) from 248 nm to 193 nm wavelength is expected to continue, which will likely further drive costs upward, due to difficulties with 193 nm photoresist
Photoresist
A photoresist is a light-sensitive material used in several industrial processes, such as photolithography and photoengraving to form a patterned coating on a surface.-Tone:Photoresists are classified into two groups: positive resists and negative resists....

s.

High-k dielectrics

Chipmakers have initially voiced concerns about introducing new high-k materials into the gate stack, for the purpose of reducing leakage current
Leakage (semiconductors)
In semiconductor devices, leakage is a quantum phenomenon where mobile charge carriers tunnel through an insulating region. Leakage increases exponentially as the thickness of the insulating region decreases. Tunneling leakage can also occur across semiconductor junctions between heavily doped...

 density. As of 2007, however, both IBM and Intel have announced that they have high-k dielectric and metal gate solutions, which Intel considers to be a fundamental change in transistor
Transistor
A transistor is a semiconductor device used to amplify and switch electronic signals and power. It is composed of a semiconductor material with at least three terminals for connection to an external circuit. A voltage or current applied to one pair of the transistor's terminals changes the current...

 design. NEC
NEC
, a Japanese multinational IT company, has its headquarters in Minato, Tokyo, Japan. NEC, part of the Sumitomo Group, provides information technology and network solutions to business enterprises, communications services providers and government....

 has also put high-k materials into production.

Technology demos

  • In 2004, TSMC
    TSMC
    Taiwan Semiconductor Manufacturing Company, Limited or TSMC is the world's largest dedicated independent semiconductor foundry, with its headquarters and main operations located in the Hsinchu Science Park in Hsinchu, Taiwan.-Overview:...

     demonstrated a 0.296 square micrometer 45 nm SRAM
    Static random access memory
    Static random-access memory is a type of semiconductor memory where the word static indicates that, unlike dynamic RAM , it does not need to be periodically refreshed, as SRAM uses bistable latching circuitry to store each bit...

     cell. In 2008, TSMC moved on to a 40 nm process.
  • In January 2006, Intel demonstrated a 0.346 square micrometers 45 nm node SRAM
    Static random access memory
    Static random-access memory is a type of semiconductor memory where the word static indicates that, unlike dynamic RAM , it does not need to be periodically refreshed, as SRAM uses bistable latching circuitry to store each bit...

     cell.
  • In April 2006, AMD demonstrated a 0.370 square micrometer 45 nm SRAM cell.
  • In June 2006, Texas Instruments
    Texas Instruments
    Texas Instruments Inc. , widely known as TI, is an American company based in Dallas, Texas, United States, which develops and commercializes semiconductor and computer technology...

     debuted a 0.24 square micrometer 45 nm SRAM cell, with the help of immersion lithography
    Immersion lithography
    Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one. The resolution is increased by a factor...

    .
  • In November 2006, UMC
    United Microelectronics Corporation
    UMC was founded as Taiwan's first semiconductor company in 1980 as a spin-off of the government-sponsored Industrial Technology Research Institute .-Overview:...

     announced that it had developed a 45 nm SRAM chip with a cell size of less than 0.25 square micrometer using immersion lithography and low-k
    Low-K
    In semiconductor manufacturing, a low-κ dielectric is a material with a small dielectric constant relative to silicon dioxide. Although the proper symbol for the dielectric constant is the Greek letter κ , in conversation such materials are referred to as being "low-k" rather than "low-κ"...

     dielectrics.
  • In June 2007 Matsushita Electric Industrial Co.
    Matsushita Electric Industrial Co.
    , formerly known as , is a Japanese multinational consumer electronics corporation headquartered in Kadoma, Osaka, Japan. Its main business is in electronics manufacturing....

     started mass production of System-on-a-chip
    System-on-a-chip
    A system on a chip or system on chip is an integrated circuit that integrates all components of a computer or other electronic system into a single chip. It may contain digital, analog, mixed-signal, and often radio-frequency functions—all on a single chip substrate...

     (SoC) for use in digital consumer equipment based on the 45-nm process technology.


ITRS anticipates that the successors to 45 nm technology will be 32 nm
32 nanometer
The 32 nm process is the step following the 45 nanometer process in CMOS semiconductor device fabrication. 32 nanometer refers to the average half-pitch of a memory cell at this technology level...

, 22 nm
22 nanometer
The 22 nanometer node is the CMOS process step following 32 nm. It was introduced by semiconductor companies in 2011. The typical half-pitch for a memory cell is around 22 nm...

, and then 16 nm
16 nanometer
The 16 nanometer node is the technology node following the 22 nm node. The exact naming of the technology nodes comes from the International Technology Roadmap for Semiconductors . By conservative ITRS estimates the 16 nm technology is projected to be reached by semiconductor companies in the...

 technology.

Commercial introduction

Matsushita Electric Industrial Co.
Matsushita Electric Industrial Co.
, formerly known as , is a Japanese multinational consumer electronics corporation headquartered in Kadoma, Osaka, Japan. Its main business is in electronics manufacturing....

 started mass production of System-on-a-chip
System-on-a-chip
A system on a chip or system on chip is an integrated circuit that integrates all components of a computer or other electronic system into a single chip. It may contain digital, analog, mixed-signal, and often radio-frequency functions—all on a single chip substrate...

 (SoC) for use in digital consumer equipment based on the 45-nm process technology.

Intel shipped its first 45 nanometer based processor, the Xeon
Xeon
The Xeon is a brand of multiprocessing- or multi-socket-capable x86 microprocessors from Intel Corporation targeted at the non-consumer server, workstation and embedded system markets.-Overview:...

 5400-series, in November 2007.

Many details about Penryn appeared at the April 2007 Intel Developer Forum
Intel Developer Forum
Intel Developer Forum , is a gathering of technologists to discuss Intel products and products based around Intel products. The first IDF was in 1997...

. Its successor is called Nehalem. Important advances include the addition of new instructions (including SSE4
SSE4
SSE4 is a CPU instruction set used in the Intel Core microarchitecture and AMD K10 . It was announced on 27 September 2006 at the Fall 2006 Intel Developer Forum, with vague details in a white paper; more precise details of 47 instructions became available at the Spring 2007 Intel Developer Forum...

, also known as Penryn New Instructions) and new fabrication materials (most significantly a hafnium
Hafnium
Hafnium is a chemical element with the symbol Hf and atomic number 72. A lustrous, silvery gray, tetravalent transition metal, hafnium chemically resembles zirconium and is found in zirconium minerals. Its existence was predicted by Dmitri Mendeleev in 1869. Hafnium was the penultimate stable...

-based dielectric).

AMD released its Sempron II, Athlon II
Athlon II
Athlon II is a family of AMD multi-core 45 nm central processing units, which is aimed at the midrange to budget market and is a complementary product lineup to the Phenom II.-Features:...

, Turion II and Phenom II
Phenom II
Phenom II is a family of AMD's multi-core 45 nm processors using the AMD K10 microarchitecture, succeeding the original Phenom. Advanced Micro Devices released the Socket AM2+ version of Phenom II in December 2008, while Socket AM3 versions with DDR3 support, along with an initial batch of...

 (in generally increasing order of strength), as well as Shanghai Opteron processors using the 45-nm process technology.

The Xbox 360
Xbox 360
The Xbox 360 is the second video game console produced by Microsoft and the successor to the Xbox. The Xbox 360 competes with Sony's PlayStation 3 and Nintendo's Wii as part of the seventh generation of video game consoles...

 S, released in 2010, has its Xenon
Xenon (processor)
Xenon is a CPU that is used in the Xbox 360 game console. The processor, internally codenamed "Waternoose", which was named after Henry J. Waternoose III in Monsters Inc. by IBM and XCPU by Microsoft, is based on IBM's PowerPC instruction set architecture, consisting of three independent processor...

processor in 45 nm process.

The PlayStation 3 Slim model introduced Cell Broadband Engine in 45 nm process.

Example: Intel's 45 nm process

At IEDM 2007, more technical details of Intel's 45 nm process were revealed.

Since immersion lithography is not used here, the lithographic patterning is more difficult. Hence many lines have been lengthened rather than shortened. A more time-consuming double patterning
Double patterning
Multiple patterning is a class of technologies for manufacturing integrated circuits , developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected...

 method is used explicitly for this 45 nm process, resulting in potentially higher risk of product delays than before. Also, the use of high-k dielectrics is introduced for the first time, to address gate leakage issues. For the 32 nm
32 nanometer
The 32 nm process is the step following the 45 nanometer process in CMOS semiconductor device fabrication. 32 nanometer refers to the average half-pitch of a memory cell at this technology level...

 node, immersion lithography
Immersion lithography
Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one. The resolution is increased by a factor...

 will begin to be used by Intel.
  • 160 nm gate pitch (73% of 65 nm generation)
  • 200 nm isolation pitch (91% of 65 nm generation) indicating a slowing of scaling of isolation
    Shallow trench isolation
    Shallow trench isolation , also known as Box Isolation Technique, is an integrated circuit feature which prevents electrical current leakage between adjacent semiconductor device components. STI is generally used on CMOS process technology nodes of 250 nanometers and smaller...

     distance between transistors
  • Extensive use of dummy copper metal and dummy gateshttp://www.ipfrontline.com/depts/article.asp?id=19560&deptid=5
  • 35 nm gate length (same as 65 nm generation)
  • 1 nm equivalent oxide thickness, with 0.7 nm transition layer
  • Gate-last process using dummy polysilicon and damascene
    Copper-based chips
    Copper-based chips are semiconductor integrated circuits, usually microprocessors, which use copper for interconnections. Since copper is a better conductor than aluminium, chips using this technology can have smaller metal components, and use less energy to pass electricity through them...

     metal gate
  • Squaring of gate ends using a second photoresist coating
  • 9 layers of carbon-doped oxide and Cu interconnect, the last being a thick "redistribution" layer
  • Contacts shaped more like rectangles than circles for local interconnection
  • Lead-free packaging
  • 1.36 mA/um nFET drive current
  • 1.07 mA/um pFET drive current, 51% faster than 65 nm generation, with higher hole mobility due to increase from 23% to 30% Ge in embedded SiGe stressors


In a recent Chipworks reverse-engineering, it was disclosed that the trench contacts were formed as a "Metal-0" layer in tungsten serving as a local interconnect. Most trench contacts were short lines oriented parallel to the gates covering diffusion, while gate contacts where even shorter lines oriented perpendicular to the gates.

It was recently revealed that both the Nehalem and Atom
Intel Atom
Intel Atom is the brand name for a line of ultra-low-voltage x86 and x86-64 CPUs from Intel, designed in 45 nm CMOS and used mainly in netbooks, nettops, embedded application ranging from health care to advanced robotics and Mobile Internet devices...

 microprocessors used SRAM
Static random access memory
Static random-access memory is a type of semiconductor memory where the word static indicates that, unlike dynamic RAM , it does not need to be periodically refreshed, as SRAM uses bistable latching circuitry to store each bit...

 cells containing eight transistors instead of the conventional six, in order to better accommodate voltage scaling. This resulted in an area penalty of over 30%.

Processors using 45 nm technology

  • Matsushita has released the 45 nm Uniphier.
  • Wolfdale
    Wolfdale (microprocessor)
    Wolfdale is the code name for a processor from Intel that is sold in varying configurations as Core 2 Duo, Celeron, Pentium and Xeon. In Intel's Tick-Tock cycle, the 2007/2008 "Tick" was Penryn microarchitecture, the shrink of the Core microarchitecture to 45 nanometers as CPUID model 23...

    , Yorkfield
    Yorkfield (microprocessor)
    Yorkfield is the code name for some Intel processors sold as Core 2 Quad and Xeon.In Intel's Tick-Tock cycle, the 2007/2008 "Tick" was Penryn microarchitecture, the shrink of the Core microarchitecture to 45 nanometers as CPUID model 23, replacing Kentsfield, the previous model.Like its...

    , Yorkfield XE and Penryn
    Penryn (microprocessor)
    Penryn is the code name of a processor from Intel that is sold in varying configurations as Core 2 Solo, Core 2 Duo, Core 2 Quad, Pentium and Celeron....

     are current Intel cores sold under the Core 2 brand.
  • Intel Core i7 series processors, i5
    I5
    I5, I-5 or I 5 may be:*Intel Core i5, a line of microprocessors*IBM AS/400 iSeries line of minicomputers*Interstate 5, a United States highway*Straight-five engine, also called "inline-5"...

     750 (Lynnfield
    Lynnfield (microprocessor)
    Lynnfield is the code name for a quad-core processor from Intel released in September 2009. It is sold in varying configurations as Core i5-7xx, Core i7-8xx or Xeon X34xx. Lynnfield uses the Nehalem microarchitecture and replaces the earlier Penryn based Yorkfield processor, using the same 45 nm...

     and Clarksfield
    Clarksfield (microprocessor)
    Clarksfield is the code name for an Intel processor, initially sold as mobile Intel Core i7. It is closely related to the desktop Lynnfield processor, both use quad-core dies based on the 45 nm...

    ).
  • Pentium Dual-Core Wolfdale-3M are current Intel mainstream dual core sold under the Pentium brand.
  • Diamondville, Pineview are current Intel cores with Hyper-Threading
    Hyper-threading
    Hyper-threading is Intel's term for its simultaneous multithreading implementation in its Atom, Intel Core i3/i5/i7, Itanium, Pentium 4 and Xeon CPUs....

     sold under the Intel Atom
    Intel Atom
    Intel Atom is the brand name for a line of ultra-low-voltage x86 and x86-64 CPUs from Intel, designed in 45 nm CMOS and used mainly in netbooks, nettops, embedded application ranging from health care to advanced robotics and Mobile Internet devices...

     brand.
  • AMD
    Advanced Micro Devices
    Advanced Micro Devices, Inc. or AMD is an American multinational semiconductor company based in Sunnyvale, California, that develops computer processors and related technologies for commercial and consumer markets...

     Deneb (Phenom II
    Phenom II
    Phenom II is a family of AMD's multi-core 45 nm processors using the AMD K10 microarchitecture, succeeding the original Phenom. Advanced Micro Devices released the Socket AM2+ version of Phenom II in December 2008, while Socket AM3 versions with DDR3 support, along with an initial batch of...

    ) and Shanghai (Opteron) Quad-Core Processors, Regor (Athlon II
    Athlon II
    Athlon II is a family of AMD multi-core 45 nm central processing units, which is aimed at the midrange to budget market and is a complementary product lineup to the Phenom II.-Features:...

    ) dual core processors http://www.amd.com/us-en/0,,3715_15503,00.html?redir=45nm01, Caspian (Turion II) mobile dual core processors.
  • AMD
    Advanced Micro Devices
    Advanced Micro Devices, Inc. or AMD is an American multinational semiconductor company based in Sunnyvale, California, that develops computer processors and related technologies for commercial and consumer markets...

    (Phenom II
    Phenom II
    Phenom II is a family of AMD's multi-core 45 nm processors using the AMD K10 microarchitecture, succeeding the original Phenom. Advanced Micro Devices released the Socket AM2+ version of Phenom II in December 2008, while Socket AM3 versions with DDR3 support, along with an initial batch of...

    ) "Thuban" Six-Core Processor (1055T)
  • Xenon
    Xenon (processor)
    Xenon is a CPU that is used in the Xbox 360 game console. The processor, internally codenamed "Waternoose", which was named after Henry J. Waternoose III in Monsters Inc. by IBM and XCPU by Microsoft, is based on IBM's PowerPC instruction set architecture, consisting of three independent processor...

    on Xbox 360
    Xbox 360
    The Xbox 360 is the second video game console produced by Microsoft and the successor to the Xbox. The Xbox 360 competes with Sony's PlayStation 3 and Nintendo's Wii as part of the seventh generation of video game consoles...

     S model.
  • Cell Broadband Engine in PlayStation 3 Slim model – September 2009.
  • Samsung
    Samsung Electronics
    Samsung Electronics is a South Korean multinational electronics and information technology company headquartered in Samsung Town, Seoul...

     S5PC110, as known as Hummingbird.
  • Texas Instruments
    Texas Instruments
    Texas Instruments Inc. , widely known as TI, is an American company based in Dallas, Texas, United States, which develops and commercializes semiconductor and computer technology...

     OMAP
    OMAP
    OMAP developed by Texas Instruments is a category of proprietary system on chips for portable and mobile multimedia applications. OMAP devices generally include a general-purpose ARM architecture processor core plus one or more specialized co-processors...

     36xx.
  • IBM
    IBM
    International Business Machines Corporation or IBM is an American multinational technology and consulting corporation headquartered in Armonk, New York, United States. IBM manufactures and sells computer hardware and software, and it offers infrastructure, hosting and consulting services in areas...

     POWER7
    POWER7
    POWER7 is a Power Architecture microprocessor released in 2010 that succeeded the POWER6. POWER7 was developed by IBM at several sites including IBM's Rochester, MN; Austin, TX; Essex Junction, Vermont; T. J. Watson Research Center, NY; Bromont, QC and Böblingen, Germany laboratories...

     and z196
    IBM z196 (microprocessor)
    The z196 microprocessor is a chip made by IBM for their zEnterprise 196 mainframe computers, announced on July 22, 2010. The processor was developed over a three year time span by IBM engineers from Poughkeepsie, New York; Austin, Texas; and Böblingen, Germany at a cost of US$1.5 billion...

  • The yet-to-be-further-specified Wii U IBM POWER7
    POWER7
    POWER7 is a Power Architecture microprocessor released in 2010 that succeeded the POWER6. POWER7 was developed by IBM at several sites including IBM's Rochester, MN; Austin, TX; Essex Junction, Vermont; T. J. Watson Research Center, NY; Bromont, QC and Böblingen, Germany laboratories...

     based CPU.

External links

The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK