Arithmetic shift
Posts  1 - 1  of  1
neha270290
For the arithmetic right shift operation ni verilog, its crating error in synthesis in cadence. so can u suggest me the logic for performing arithmetic right shift?
it would be really helping
Save
Cancel
Reply
 
x
OK